View Single Post
  #5 (permalink)  
Old 08-11-2006, 02:45 AM
Alex Iliev
Guest
 
Posts: n/a
Default Re: Avnet V2Pro dev board "Hello world"

On Wed, 09 Aug 2006 17:23:06 -0400, MM wrote:

> "Alex Iliev" <[email protected]> wrote in message
> news[email protected] th.edu...
>>
>> Also, the LEDs have a register in front of them.

>
> In which of the 2 FPGA's? It's not in your code, and you previously said
> that the second FPGA is configured to pass the data straight through


I got a hold of the second (bridge) FPGA's design, and it turned out that
the process (DFF register) driving the LEDs is indeed clocked, and I had
not been providing that clock. Now the board said hello, with a counter on
the LEDs

alex

Reply With Quote