FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 05-31-2006, 11:38 PM
Guest
 
Posts: n/a
Default VHDL File-based CPU Emulator : Available

Hosted by opencores.org:
http://www.opencores.org/projects.cg...lator/overview

Hope people find this useful, I sure do... I placed some sample text
files ('programs') that can be played with. The project can be run in
Modelsim without any changes to get a feel for what its doing. Of
course without a top level FPGA design hooked up to it there won't be
much to see.

This project emulates a CPU for an FPGA under simulation with the use
of text files. It can be used to test an FPGA - CPU interface using
realistic real-world stimuli. One main text file per CPU emulation
instance is used for global CPU commands, and thread spawning. Each
spawned thread is tied to an additional text file to use as its 'source
code'.

Features:
Configuration of clock, and reset, and read latency.
Wait for time period
Wait for signal value (good for interrupts)
Declare local and global variables (bit, vector8, or string)
Nested while loops with separate variable space in each nesting
Nested if conditionals (no new variable space)
Unlimited number of threads
Print variables to a file (line by line)
Write a value or variable to an address
Read a value from an address and place in variable
Read using a DMA and writing values to a file

Thread control:
Each thread runs until it hits a wait or the end of the file. If no
wait is hit, then it will continue to run and choke the system. There
is no DMA write provided as the software supports only 0 latency writes
such that consecutive writes in a while loop perform the DMA. See
ctc.txt lines 24 - 36 for an example of this. All commands other than
wait, read and write take 0 time. Only wait or wait_interruptX cause
the thread switching.

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
user constraint file of slice based bus macro in virtex 4 Perry FPGA 0 01-12-2007 06:57 AM
vhdl cpu emulator (any interest?) [email protected] VHDL 1 04-26-2006 05:51 PM
vhdl cpu emulator (any interest?) [email protected] FPGA 3 04-25-2006 06:05 AM
ARM Emulator morpheus FPGA 2 04-17-2006 01:49 AM
Question: Writing text file based TestBenches vs. Waveform file based simulation. BLF VHDL 4 08-07-2004 01:44 AM


All times are GMT +1. The time now is 11:56 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved