FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 11-13-2007, 12:35 AM
Pasacco
Guest
 
Posts: n/a
Default synthesis 3D-array?

Dear

I would like to have some suggestion to implement 'regular 40
instances'.

I use following "2D array" signal :

Array (0 to 4) of array (0 to 4) of integer (0 to 4)

Now I have choices that:

--------------------------------------------------------
1. Make different "2D array typed" signals.
Manually modify each instance for different signals.

2. Make generic "3D array typed" signal :

Array (0 to 39) of array (0 to 4) of array (0 to 4) of integer (0
to 4)

Then make all generic.
--------------------------------------------------------

"Choice 1" is too laborious and error-prone.
I am not sure there is a good synthesizer tool for the "Choice 2"

I am currently using Xilinx XST tool (version 8.2).

If someone has experience of synthesizing 3D-array signal, please let
us know.

Reply With Quote
  #2 (permalink)  
Old 11-14-2007, 11:29 AM
Florian
Guest
 
Posts: n/a
Default Re: synthesis 3D-array?

On Nov 13, 1:35 am, Pasacco <[email protected]> wrote:
> Dear
>
> I would like to have some suggestion to implement 'regular 40
> instances'.
>
> I use following "2D array" signal :
>
> Array (0 to 4) of array (0 to 4) of integer (0 to 4)
>
> Now I have choices that:
>
> --------------------------------------------------------
> 1. Make different "2D array typed" signals.
> Manually modify each instance for different signals.
>
> 2. Make generic "3D array typed" signal :
>
> Array (0 to 39) of array (0 to 4) of array (0 to 4) of integer (0
> to 4)
>
> Then make all generic.
> --------------------------------------------------------
>
> "Choice 1" is too laborious and error-prone.
> I am not sure there is a good synthesizer tool for the "Choice 2"
>
> I am currently using Xilinx XST tool (version 8.2).
>
> If someone has experience of synthesizing 3D-array signal, please let
> us know.


Hallo

I can tell you about my multi dimensional array experience:

-- Definitions about Colors and RGB-Data
type COLORS_T is (RED, GREEN, BLUE);
type RGB_DATA_T is array (COLORS_T) of std_logic_vector(7 downto 0);

constant DelayInPixels : integer := 2;
type PixIn_Dly_T is array(DelayInPixels-1 downto 0) of RGB_DATA_T;
signal PixIn_Dly : PixIn_Dly_T;

PixIn_Dly <= PixIn_Dly(PixIn_Dly'left-1 downto PixIn_Dly'right) &
PixIn;


The delay line for PixIn_Dly wasn't synthesized correct.
I think the PinIn_Dly as an array() of [array(COLORS_T) of
std_logic_vector(7 downto 0)] was too much for XST. I used ISE 8.1.03

Have fun
Florian



Reply With Quote
  #3 (permalink)  
Old 11-20-2007, 03:15 PM
Pasacco
Guest
 
Posts: n/a
Default Re: synthesis 3D-array?

> The delay line for PixIn_Dly wasn't synthesized correct.
> I think the PinIn_Dly as an array() of [array(COLORS_T) of
> std_logic_vector(7 downto 0)] was too much for XST. I used ISE 8.1.03


Thank you for comment.
In the XST document, XST does support 3D-array type signal synthesis.
How did you resolve the problem then? Did you synthesize using 2D-
type ?
Reply With Quote
  #4 (permalink)  
Old 11-20-2007, 05:34 PM
wallge
Guest
 
Posts: n/a
Default Re: synthesis 3D-array?

On Nov 12, 7:35 pm, Pasacco <[email protected]> wrote:
> Dear
>
> I would like to have some suggestion to implement 'regular 40
> instances'.
>
> I use following "2D array" signal :
>
> Array (0 to 4) of array (0 to 4) of integer (0 to 4)
>
> Now I have choices that:
>
> --------------------------------------------------------
> 1. Make different "2D array typed" signals.
> Manually modify each instance for different signals.
>
> 2. Make generic "3D array typed" signal :
>
> Array (0 to 39) of array (0 to 4) of array (0 to 4) of integer (0
> to 4)
>
> Then make all generic.
> --------------------------------------------------------
>
> "Choice 1" is too laborious and error-prone.
> I am not sure there is a good synthesizer tool for the "Choice 2"
>
> I am currently using Xilinx XST tool (version 8.2).
>
> If someone has experience of synthesizing 3D-array signal, please let
> us know.


what about a type definition such as:

type matrix is array (natural range<>, natural range<>) of real;

can we expand this to have a third index :

type matrix3d is array (natural range<>, natural range<>, natural
range<>) of real;
Reply With Quote
  #5 (permalink)  
Old 11-23-2007, 09:03 AM
Pasacco
Guest
 
Posts: n/a
Default Re: synthesis 3D-array?

Thank you for comments.

I am simulating the VHDl. But it seems that XST well synthesizes 3D-
type signal (at least following types).

---------------------------
type OneD is array(0 to 4) of integer range 0 to 4;
type TwoD is array(0 to 4) of OneD;
type ThreeD is array(0 to 4) of TwoD;
---------------------------
Reply With Quote
Reply

Bookmarks

Thread Tools
Display Modes

Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Xilinx VHDL multidimensional array synthesis Brad Smallridge FPGA 5 07-30-2007 06:11 PM
Array rotate : "Range bound must be a constant" in synthesis Pasacco VHDL 1 10-29-2006 04:01 PM
Array rotate : "Range bound must be a constant" in synthesis Pasacco VHDL 0 10-29-2006 11:19 AM
Synthesis of initialized array? Brandon VHDL 1 11-04-2005 06:44 PM
record and array synthesis BlueDoze VHDL 2 07-07-2004 04:38 AM


All times are GMT +1. The time now is 12:09 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved