FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 05-01-2006, 05:25 PM
Jeremy Ralph
Guest
 
Posts: n/a
Default Records & Synthesis

Any consensus on VHDL records for synthesizable RTL? If one wishes to
write portable RTL that works for most synthesizers can records be
used? Can records be safely used for ports? Or, should they only be
use internally within a component? Or, should they be avoided for RTL
code?

As I recall certain synthesis tools used to choke on arrays of vectors
in ports at the top level of a component. This seems so trivial that
it's hard to believe... certainly it scared me from experimenting
with records in RTL. Hopefully the synthesis tools of today properly
support arrays and records in ports.

So comp.lang.vhdl what are your thoughts / experiences with regard to
records in RTL?

Thanks,
Jeremy Ralph

---
PDTi [ http://www.productive-eda.com ]
SpectaReg -- Spec-down code and doc generation for register maps

Reply With Quote
  #2 (permalink)  
Old 05-02-2006, 08:57 AM
Hans
Guest
 
Posts: n/a
Default Re: Records & Synthesis

Should be no problem, I have used records in my projects and
Precision/Spectrum/XST/QNS could all handle it. I would only suggest to
avoid using records at the top level since most (if not all) netlist writers
translate them to individual vectors.

Hans.
www.ht-lab.com

"Jeremy Ralph" <[email protected]> wrote in message
news:[email protected] oups.com...
> Any consensus on VHDL records for synthesizable RTL? If one wishes to
> write portable RTL that works for most synthesizers can records be
> used? Can records be safely used for ports? Or, should they only be
> use internally within a component? Or, should they be avoided for RTL
> code?
>
> As I recall certain synthesis tools used to choke on arrays of vectors
> in ports at the top level of a component. This seems so trivial that
> it's hard to believe... certainly it scared me from experimenting
> with records in RTL. Hopefully the synthesis tools of today properly
> support arrays and records in ports.
>
> So comp.lang.vhdl what are your thoughts / experiences with regard to
> records in RTL?
>
> Thanks,
> Jeremy Ralph
>
> ---
> PDTi [ http://www.productive-eda.com ]
> SpectaReg -- Spec-down code and doc generation for register maps
>



Reply With Quote
  #3 (permalink)  
Old 05-02-2006, 12:27 PM
Peter
Guest
 
Posts: n/a
Default Re: Records & Synthesis

Hi,

I always use records to connect entities to each other, and have not
discovered any problems.

However, records does not seem to work on the top entity, as Hans said.

/Peter

Reply With Quote
  #4 (permalink)  
Old 05-06-2006, 04:30 PM
Guest
 
Posts: n/a
Default Re: Records & Synthesis

Just as another input:

I use records with Quartus II internal synthesis and there also is no
problem.
(I only avoid this for the toplevel, since I don't know if Quartus
likes that
and I can't imagine how to do pin-assignments on a toplevel port which
is a "record".)

so long
lundril

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Is HDL-Designer not supporting records correctly? Svenn Are Bjerkem FPGA 3 08-21-2008 09:28 AM
Inferring RAM from array of records jtw VHDL 1 03-09-2006 05:57 PM
Inferring RAM from array of records jtw FPGA 0 03-09-2006 03:24 AM
Records in VHDL ALuPin VHDL 2 04-19-2004 07:12 AM
array of records Salvatore Callea VHDL 3 04-13-2004 10:01 AM


All times are GMT +1. The time now is 12:06 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved