FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 03-06-2006, 12:05 PM
Guest
 
Posts: n/a
Default processor bus tristate at two places

Hi,

I have my VHDL modules which access the processor bus.
I have one more third party core wher in at also uses the processor bus
, now i have no access to their source code.

Now my doubt is how to go about integrating these two since the
tristaing of the processor bus should happen at only one place.

Any innovative soultion?? OR is it really possible or not.

Regards,
Prav

Reply With Quote
  #2 (permalink)  
Old 03-14-2006, 03:29 AM
radarman
Guest
 
Posts: n/a
Default Re: processor bus tristate at two places

What part are you targetting. Most modern parts no longer support true
*internal* tri-state drivers (and by extension, busses). In these
parts, you will get more mileage by creating an "or" structure, where
the modules output zero's when not selected, and you just OR all the
bus bits together. (ie. bus_0(0) or bus_1(0) or bus_2(0)...) The
downside is that now you can get some serious combinational latencies -
limiting the number of drivers on the bus.

Either way, I would suggest creating two busses - one for writes and
one for reads. The write bus is generally very simple, while the read
bus requires some effort - but the total effort is less than trying to
combine them.

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Places to visit in Amsterdam and Brussells Ashok Chotai FPGA 13 03-31-2008 12:55 PM
Multi threaded processor comparison with single thread processor designer Verilog 1 05-18-2007 03:26 PM
processor bus tristate at two places prav FPGA 2 03-07-2006 04:15 PM
Where do XPP290 places top-level logic when all three AREA_GROUPs have DISALLOW_BOUNDARY_CROSSING on them? Kelvin @ SG FPGA 0 01-07-2004 05:35 AM


All times are GMT +1. The time now is 11:53 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved