FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 07-12-2006, 06:03 PM
Steven Derrien
Guest
 
Posts: n/a
Default Problem during mixed VHDL SystemC simulation with Modelsim 6.2a

Hi folks,

Don't really know if it's the right place to ask, but I'm having
difficulties simulating a mixed systemC/VHDL model using Modelsim.

I have a simple VHDL testbench which instanciates the systemC module
(I used vgencomp to avoid port type/name mismatch). Whe I statrt the
simutlaion I get a "Constructor for SystemC module 'xr16_sc' not found"
error message.

Here is my Modelsim command log :

>vcom -reportprogress 300 -work work

E:/projects/RDISK/filter_image/vhdl_rdisk/xr16_sc_tb.vhd
# Model Technology ModelSim SE vcom 6.2a Compiler 2006.06 Jun 16 2006
# -- Loading package standard
[...]
# -- Loading entity xr16_sc
> sccom -I./sc/ sc/xr16.cpp

# Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
#
# Exported modules:
# XR16_SC
> sccom -link

# Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
> vsim work.xr16_sc_tb

# vsim work.xr16_sc_tb
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: (vopt-3677) Constructor for SystemC module 'xr16_sc' not found.
# ** Error: Vopt Compiler exiting.
# Error loading design

Any hints ?

Thank in advance.
Reply With Quote
  #2 (permalink)  
Old 07-12-2006, 07:37 PM
Hans
Guest
 
Posts: n/a
Default Re: Problem during mixed VHDL SystemC simulation with Modelsim 6.2a

Hi Steven,

The obvious question, do you have a constructor (i.e. SC_CTOR) specified in
your xr16.h header? You need to specify one since SC_CTOR does more than a
C++ constructor (hence the need for SC_HAS_PROCESS if you don't use SC_CTOR)

This could also be a Modelsim bug, try disabling vopt (vsim -novopt +acc)

Hans
www.ht-lab.com



"Steven Derrien" <[email protected]> wrote in message
news:[email protected]...
> Hi folks,
>
> Don't really know if it's the right place to ask, but I'm having
> difficulties simulating a mixed systemC/VHDL model using Modelsim.
>
> I have a simple VHDL testbench which instanciates the systemC module
> (I used vgencomp to avoid port type/name mismatch). Whe I statrt the
> simutlaion I get a "Constructor for SystemC module 'xr16_sc' not found"
> error message.
>
> Here is my Modelsim command log :
>
> >vcom -reportprogress 300 -work work

> E:/projects/RDISK/filter_image/vhdl_rdisk/xr16_sc_tb.vhd
> # Model Technology ModelSim SE vcom 6.2a Compiler 2006.06 Jun 16 2006
> # -- Loading package standard
> [...]
> # -- Loading entity xr16_sc
> > sccom -I./sc/ sc/xr16.cpp

> # Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
> #
> # Exported modules:
> # XR16_SC
> > sccom -link

> # Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
> > vsim work.xr16_sc_tb

> # vsim work.xr16_sc_tb
> # ** Note: (vsim-3812) Design is being optimized...
> # ** Error: (vopt-3677) Constructor for SystemC module 'xr16_sc' not
> found.
> # ** Error: Vopt Compiler exiting.
> # Error loading design
>
> Any hints ?
>
> Thank in advance.



Reply With Quote
  #3 (permalink)  
Old 07-13-2006, 10:50 AM
Steven Derrien
Guest
 
Posts: n/a
Default Re: Problem during mixed VHDL SystemC simulation with Modelsim 6.2a

Hi,

This was a bug, disabling vopt solves the problem.
Thanks for the help.

Regards,

Steven

Hans a écrit :

> Hi Steven,
>
> The obvious question, do you have a constructor (i.e. SC_CTOR) specified in
> your xr16.h header? You need to specify one since SC_CTOR does more than a
> C++ constructor (hence the need for SC_HAS_PROCESS if you don't use SC_CTOR)
>
> This could also be a Modelsim bug, try disabling vopt (vsim -novopt +acc)
>
> Hans
> www.ht-lab.com
>
>

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Mixed Simulation of Design (VHDL and Verilog) Mirza.AR Verilog 1 07-12-2007 04:34 PM
Modelsim problem - mixed VHDL,Verilog & VHO Mark McDougall FPGA 6 11-09-2006 01:26 AM
Modelsim problem - mixed VHDL,Verilog & VHO Mark McDougall Verilog 4 11-08-2006 09:12 PM
how can I simulate the vhdl and verilog mixed design in modelsim? Jimmy FPGA 2 08-30-2004 06:18 PM
VHDL verilog mixed design, strange problem Akshaye VHDL 1 02-09-2004 11:57 AM


All times are GMT +1. The time now is 12:39 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved