FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 11-06-2003, 07:34 AM
Quinnie
Guest
 
Posts: n/a
Default Multiplier

I need VHDL code for Modified Booth's multiplier and Add/Shift
multiplier. They have to be structural design (not behavorial)...Any
help would be greatly appreciated. Thnx!
Reply With Quote
  #2 (permalink)  
Old 11-06-2003, 08:10 AM
Ingmar Seifert
Guest
 
Posts: n/a
Default Re: Multiplier

Quinnie wrote:
> I need VHDL code for Modified Booth's multiplier and Add/Shift
> multiplier. They have to be structural design (not behavorial)...Any
> help would be greatly appreciated. Thnx!


Hello,

at first: Please use your realname for further postings. Otherwise some
people won't answer your questions.
The second thing is that I don't think that anyone would give you a
"ready to go" VHDL code.
I recently had to write a serial multiplier. The drawings on the
following page gave me some help.
http://www.csee.umbc.edu/help/VHDL/s.../samples.shtml
It shouldn't be that much work to desribe the shiftregisters, the adder
and the and gates in a structural way.


Good luck in describing the units.
Ingmar Seifert

Reply With Quote
  #3 (permalink)  
Old 11-06-2003, 03:22 PM
Ralf Hildebrandt
Guest
 
Posts: n/a
Default Re: Multiplier

"Quinnie" wrote:

> I need VHDL code for Modified Booth's multiplier


Signed / Unsigned? Both? ;-)

The Booth-Encoder ist is combinational logic. If you understand the
mathematical principle, it is very easy to implement.

The generation of the partial products (PPG) depends on whether signed /
unsigned multipication or both is needed. There are some tricks for sign
extension, too.

The partial product reduction (PPR) is "free" to implement. CSA and
Wallace-Tree are the most common.

The final Carry-Propagate-Adder (CPA) is also "free". CLA is the most
common.


> and Add/Shift
> multiplier. They have to be structural design (not behavorial)...Any
> help would be greatly appreciated. Thnx!


Uhmm .. this is a VHDL-newsgroup, not a market for IP cores. ;-)


I recommended the following links:
Booth encoded array multipliers:
http://arith.cs.ucla.edu/dissertatio...on_huang03.pdf
fast CPA:
http://twins.ee.nctu.edu.tw/~chsung/...tation_ts2.pdf

Ralf

Reply With Quote
  #4 (permalink)  
Old 11-06-2003, 08:08 PM
Quinnie
Guest
 
Posts: n/a
Default Re: Multiplier

Hi,
Thank you all for replying. I know the algorithm well and actually
got the booth and shift/add multiplier to work but I use process only
(behavorial) while I supposed to use to adder to add instead....I'm
very confused because process doesn't allow port map inside it. Any
thoughts? Thanks a lot.

Btw, I only need to implement unsigned booth and unsigned multipliers.
Thnx

Quynh Pham (there goes my real name, what is the point if anyone could
just put up any name ?



Ralf Hildebrandt <[email protected]> wrote in message news:<[email protected]>...
> "Quinnie" wrote:
>
> > I need VHDL code for Modified Booth's multiplier

>
> Signed / Unsigned? Both? ;-)
>
> The Booth-Encoder ist is combinational logic. If you understand the
> mathematical principle, it is very easy to implement.
>
> The generation of the partial products (PPG) depends on whether signed /
> unsigned multipication or both is needed. There are some tricks for sign
> extension, too.
>
> The partial product reduction (PPR) is "free" to implement. CSA and
> Wallace-Tree are the most common.
>
> The final Carry-Propagate-Adder (CPA) is also "free". CLA is the most
> common.
>
>
> > and Add/Shift
> > multiplier. They have to be structural design (not behavorial)...Any
> > help would be greatly appreciated. Thnx!

>
> Uhmm .. this is a VHDL-newsgroup, not a market for IP cores. ;-)
>
>
> I recommended the following links:
> Booth encoded array multipliers:
> http://arith.cs.ucla.edu/dissertatio...on_huang03.pdf
> fast CPA:
> http://twins.ee.nctu.edu.tw/~chsung/...tation_ts2.pdf
>
> Ralf

Reply With Quote
  #5 (permalink)  
Old 11-07-2003, 02:29 PM
Ralf Hildebrandt
Guest
 
Posts: n/a
Default Re: Multiplier

Quinnie wrote:


> Thank you all for replying. I know the algorithm well and actually
> got the booth and shift/add multiplier to work but I use process only
> (behavorial)


It does not depend on VHDL processes, if you are writing behavioral
descriptions oder synthesizable models. A process is nothing more than a
"box" for the language. What you put into the box depends on you.


> while I supposed to use to adder to add instead....


What adder?

sum <= std_ulogic_vector( unsigned(input_A) + unsigned(input_B) );

is a synthesizable adder.


> I'm
> very confused because process doesn't allow port map inside it.


Why do you want to do this? I can't see any reason.



Do you think like writing a software with C, Pascal or whatever? - Get
this out of your mind. VHDL is a tool, that helps you modelling
hardware. Hardware has to be described / modelled and not programmed!



Make a 1st component, that has the 2. operand as input and the Booth
encoded version as output.

Make a 2nd component, that uses the 1. operand and the Booth encoded 2.
operand. This component has to produce the multiplier arrary (partial
product generation). The outputs are all the vectors in the multiplier
array.

Make a 3rd component, that takes all the vectors from the multiplier
array and sums them up. (Wallace-Tree, CSA or whatever). The result are
eigther 2 Vectors (Carrys und Sums if you have chosen WT oor CSA) or the
final sum (if you do something special).

Make a last component (if you have chosen WT or CSA), that sums up the 2
results from the 3rd component. This component is the
craay-propageate-adder.

As you can see: All component are pure combinational logic (if you did a
parallel multiplier).


Ralf

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
32 bit multiplier VIPS FPGA 9 04-10-2008 03:12 PM
IQ multiplier ma FPGA 8 12-16-2006 12:23 AM
regarding 4 bit multiplier [email protected] FPGA 2 09-19-2006 02:02 AM
power of two multiplier mk FPGA 0 08-07-2005 10:28 PM
2 bit multiplier [email protected] FPGA 3 04-12-2005 11:29 PM


All times are GMT +1. The time now is 12:07 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved