FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 02-22-2006, 04:23 PM
mBird
Guest
 
Posts: n/a
Default Looking for Xilinx Spartan 3 Starter Example Serial

Hi --

I have the Spartan 3 Starter Board. I am trying to find an example for the
Serial RS232.
Something like connecting the serial port to the desktop PC. Something
simple like say I have a clock running on the Spartan 3 and it outputs data
to the serial port at a given baud rate then connect the PC with a terminal
program and read the data -- or have the Spartan 3 set up as a listener and
I send it data via the PC.

Thank you


Reply With Quote
  #2 (permalink)  
Old 02-22-2006, 04:32 PM
midiwidi
Guest
 
Posts: n/a
Default Re: Looking for Xilinx Spartan 3 Starter Example Serial

You have to write a UART module or use a written one for example the
MiniUART from www.opencores.org.

Reply With Quote
  #3 (permalink)  
Old 02-23-2006, 03:19 AM
Hal Murray
Guest
 
Posts: n/a
Default Re: Looking for Xilinx Spartan 3 Starter Example Serial


>You have to write a UART module or use a written one for example the
>MiniUART from www.opencores.org.


The transmit side is pretty simple. It's just a 10 bit shift register.
Clock it at the bit rate. First and last bits are start/stop.
Data goes in the middle. Load every N ticks. Make N be more
than 10 if you don't want to transmit at full speed. 16 might be
simple to implement.

Should be a simple hack to do something like send out the switches.
(Put a constant in the high bits to make a printable character.)

--
The suespammers.org mail server is located in California. So are all my
other mailboxes. Please do not send unsolicited bulk e-mail or unsolicited
commercial e-mail to my suespammers.org address or any of my other addresses.
These are my opinions, not necessarily my employer's. I hate spam.

Reply With Quote
  #4 (permalink)  
Old 02-23-2006, 07:15 PM
mBird
Guest
 
Posts: n/a
Default Re: Looking for Xilinx Spartan 3 Starter Example Serial

Hi --
Thanks for info.
I am new/hobbiest and would be great to have a simple VHDL example of the
below to study/learn from if you have anything like that around would be
greatly appretiated!

"Hal Murray" <[email protected]> wrote in message
news[email protected] ...
>
>>You have to write a UART module or use a written one for example the
>>MiniUART from www.opencores.org.

>
> The transmit side is pretty simple. It's just a 10 bit shift register.
> Clock it at the bit rate. First and last bits are start/stop.
> Data goes in the middle. Load every N ticks. Make N be more
> than 10 if you don't want to transmit at full speed. 16 might be
> simple to implement.
>
> Should be a simple hack to do something like send out the switches.
> (Put a constant in the high bits to make a printable character.)
>
> --
> The suespammers.org mail server is located in California. So are all my
> other mailboxes. Please do not send unsolicited bulk e-mail or
> unsolicited
> commercial e-mail to my suespammers.org address or any of my other
> addresses.
> These are my opinions, not necessarily my employer's. I hate spam.
>



Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
memory interface for DDR/DDR2 with xilinx spartan 3E/3A starter kits [email protected] FPGA 0 04-26-2007 11:11 PM
Xilinx Platform Studio Evaluation Trial Expired (included in Spartan 3E Starter Kit) Pablo FPGA 6 02-23-2007 01:01 AM
ADC (LTC1407a) on Xilinx Spartan 3E starter kit Ju, Jian FPGA 1 10-17-2006 02:23 AM
To Xilinx: Problem with Digilent Spartan III Starter Kit Documentation Rob Gaddi FPGA 2 05-13-2005 05:46 PM
ANN: Xilinx Delivers Lowest Cost, Easy-to-use $99 Spartan-3 FPGA Starter Kit Steven K. Knapp FPGA 0 06-29-2004 05:55 PM


All times are GMT +1. The time now is 12:02 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved