FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > VHDL

VHDL comp.lang.vhdl newsgroup / Usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 03-05-2008, 03:49 AM
ytfilter
Guest
 
Posts: n/a
Default About fsdb Dump using ncvhdl

Hi,

I'm a newer in VHDL and trying to dump the waveform using ncvhdl but
not work.
The simulation is passes but no fsdb file created.
The source is as follows and hope someone help me to check if there
any wrong.

================================================== =========
library ieee;
use ieee.std_logic_1164.all;

use work.novas.all;

entity test is
generic (
clkperiod : integer := 20
);
end;

architecture behav of test is

constant ct : integer := clkperiod/2;
signal clk : std_logic := '0';

begin

clk <= not clk after ct * 1 ns;

process
begin
fsdbDumpfile("test.fsdb");
fsdbDumpvars(0, ":");
wait;
end process;

end;
================================================== =========



Thanks.
Andrew
Reply With Quote
  #2 (permalink)  
Old 03-06-2008, 05:35 AM
JK
Guest
 
Posts: n/a
Default Re: About fsdb Dump using ncvhdl

On Mar 5, 8:49*am, ytfilter <[email protected]> wrote:
> Hi,
>
> I'm a newer in VHDL and trying to dump the waveform using ncvhdl but
> not work.
> The simulation is passes but no fsdb file created.
> The source is as follows and hope someone help me to check if there
> any wrong.
>


Are you compiling novas.vhd into work library?
Please check that correct environment variables are set and you are
loading novas_fli into simulator.

For modelsim,
we have to set environment variable: setenv PLIOBJS
"<debussy_inst_dir>/share/PLI/modelsim_fli61/LINUX/novas_fli.so"
while compiling rtl, compile : vcom -explicit -2002 -work work
"<debussy_inst_dir>/share/PLI/modelsim_fli61/LINUX/novas.vhd"

May be the similar case with ncvhdl. Please check.

Regards,
JK
Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
FSDB to EVCD Deepu Verilog 0 09-05-2008 05:41 PM
about fsdb dumpvars [email protected] Verilog 2 04-03-2007 03:55 PM
NCSIM *.fsdb dump vs *.shm dump eda_employee Verilog 0 11-06-2005 05:27 PM
how to use fsdb dump Karthikeyan Subramaniyam Verilog 1 10-01-2005 09:46 AM
ncvhdl error kish VHDL 2 05-21-2004 03:48 AM


All times are GMT +1. The time now is 12:30 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved