FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > Verilog

Verilog comp.lang.verilog newsgroup / usenet

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 06-12-2004, 12:16 PM
morteza
Guest
 
Posts: n/a
Default verilog problem

hello all

can anyone help me?

I want to model large memories in verilog.But you know that for
modeling for example 1M RAM memory about 32M in system is requierd.

so it will be fine if we could model the RAM elements in PLI.

can anyone help me for writing or finding such PLI?


with very best wishes
Reply With Quote
  #2 (permalink)  
Old 06-13-2004, 05:25 PM
Jim Wu
Guest
 
Posts: n/a
Default Re: verilog problem

If you use VCS, it has a sparse memory model.

You may also want to take a look at TestBuilder which has support for
sparse memory model as well.

HTH,
Jim ([email protected] remve NOOOSPAM)
http://www.geocities.com/jimwu88/chips


morteza wrote:
> hello all
>
> can anyone help me?
>
> I want to model large memories in verilog.But you know that for
> modeling for example 1M RAM memory about 32M in system is requierd.
>
> so it will be fine if we could model the RAM elements in PLI.
>
> can anyone help me for writing or finding such PLI?
>
>
> with very best wishes

Reply With Quote
  #3 (permalink)  
Old 04-29-2005, 09:49 PM
info_
Guest
 
Posts: n/a
Default Re: verilog problem

morteza wrote:

> hello all
>
> can anyone help me?
>
> I want to model large memories in verilog.But you know that for
> modeling for example 1M RAM memory about 32M in system is requierd.
>
> so it will be fine if we could model the RAM elements in PLI.
>
> can anyone help me for writing or finding such PLI?


We have it in the Doulos Verilog Training Course (in the course and
as an exercise).
I checked in the free Doulos Know-How section on the Web : it's not there,
so I suggest you try and contact the Doulos UK office directly.

It's not difficult, so maybe a good book on PLI has it as an example ?
(Stuart Sutherland's ?)

Another possibility is to ask Ram vendors for behavioral Verilog models
(and keep the part you're interested in)

I don't dare to post this here : but the solution in VHDL is very easy
thanks to access type ;-)
Aren't we all eager to get SystemVerilog in our favorite tools ???

Last : Janick's Verification Guild has also a couple of useful pointers.

Bert Cuzeau

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Verilog Assertion Problem New to Verilog Verilog 1 06-27-2003 09:42 AM


All times are GMT +1. The time now is 12:01 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved