FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 09-20-2005, 08:48 PM
Austin Franklin
Guest
 
Posts: n/a
Default XST equivelent for Synplify "synthesis syn_preserve = 1"

Hi,

What is the XST equivelent of Synplify's "synthesis syn_preserve = 1" (for
Verilog)? I've tried using "
synthesis attribute register_duplication xx "yes" " (closest thing I found
so far that may be what I'm looking for) with xx equal to the module name,
the module instance, the signal...nothing seems to work. I have register
dulpication selected in the properties for "implement design"...

Any help appreciated.

Austin


Reply With Quote
  #2 (permalink)  
Old 09-20-2005, 10:19 PM
Austin Franklin
Guest
 
Posts: n/a
Default Re: XST equivelent for Synplify "synthesis syn_preserve = 1"

I found "equivalent_register_removal", but so far, that hasn't worked
either...but that seems to be the right attribute, now I just have to get it
to work...as register_duplication is apparently not the right attribute.

"Austin Franklin" <[email protected]> wrote in message
news:[email protected]...
> Hi,
>
> What is the XST equivelent of Synplify's "synthesis syn_preserve = 1" (for
> Verilog)? I've tried using "
> synthesis attribute register_duplication xx "yes" " (closest thing I found
> so far that may be what I'm looking for) with xx equal to the module name,
> the module instance, the signal...nothing seems to work. I have register
> dulpication selected in the properties for "implement design"...
>
> Any help appreciated.
>
> Austin
>
>



Reply With Quote
  #3 (permalink)  
Old 09-21-2005, 01:43 PM
Aj
Guest
 
Posts: n/a
Default Re: XST equivelent for Synplify "synthesis syn_preserve = 1"

Hi Austin,

Please, have a look at an example, where I had used the attribute in
XCF:
Here "zbtcntr_double_width" is the entity name and RD_WRl_TRId2(0) is
the register which I wanted to preserve.


1)MODEL "zbtcntr_double_width" equivalent_register_removal= false;
#Use the above attr. , if you want it to be applicable to the whole
module or entity.

2)BEGIN MODEL "zbtcntr_double_width"
NET "RD_WRl_TRId2(0)" equivalent_register_removal= false;
#Use the above attr. if you want to preserve a particular Reg.

HTH
Ajay Panicker
CG-CoreEL



Austin Franklin wrote:
> I found "equivalent_register_removal", but so far, that hasn't worked
> either...but that seems to be the right attribute, now I just have to get it
> to work...as register_duplication is apparently not the right attribute.
>
> "Austin Franklin" <[email protected]> wrote in message
> news:[email protected]...
> > Hi,
> >
> > What is the XST equivelent of Synplify's "synthesis syn_preserve = 1" (for
> > Verilog)? I've tried using "
> > synthesis attribute register_duplication xx "yes" " (closest thing I found
> > so far that may be what I'm looking for) with xx equal to the module name,
> > the module instance, the signal...nothing seems to work. I have register
> > dulpication selected in the properties for "implement design"...
> >
> > Any help appreciated.
> >
> > Austin
> >
> >


Reply With Quote
  #4 (permalink)  
Old 09-21-2005, 03:04 PM
Austin Franklin
Guest
 
Posts: n/a
Default Re: XST equivelent for Synplify "synthesis syn_preserve = 1"

Hi,

I figured out how to get it to work. There is a flag in the synthesis
options to enable/disable this...that seemes to work, but obviously
globally.

To get it to work on individual registers, instead of globally, the
directive seems like it has to be after the reg statement. I'm not sure why
as the documentation shows that you have to name the register specifically
in the directive...except that perhaps it's a single pass compiler. I had
the directive just above the reg statement...and it complained it couldn't
find the reg. Putting it on the same line worked.

Austin


"Austin Franklin" <[email protected]> wrote in message
news:Y1%[email protected]...
> I found "equivalent_register_removal", but so far, that hasn't worked
> either...but that seems to be the right attribute, now I just have to get

it
> to work...as register_duplication is apparently not the right attribute.
>
> "Austin Franklin" <[email protected]> wrote in message
> news:[email protected]...
> > Hi,
> >
> > What is the XST equivelent of Synplify's "synthesis syn_preserve = 1"

(for
> > Verilog)? I've tried using "
> > synthesis attribute register_duplication xx "yes" " (closest thing I

found
> > so far that may be what I'm looking for) with xx equal to the module

name,
> > the module instance, the signal...nothing seems to work. I have

register
> > dulpication selected in the properties for "implement design"...
> >
> > Any help appreciated.
> >
> > Austin
> >
> >

>
>



Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Synplify - How to use "Top module" option? srini Verilog 1 04-22-2006 08:52 AM
VHDL warning " Feedback mux " from synplify pro ...thx Jimmy FPGA 3 06-01-2004 05:37 PM
"clean" or "unprotected" versions of AHDL2X, SYNTHX from Xilinx (ABL2XNF sub tools) Bill Smith FPGA 0 11-10-2003 11:17 PM


All times are GMT +1. The time now is 12:02 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved