FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 02-10-2005, 05:07 PM
adrian
Guest
 
Posts: n/a
Default XMD/GBD problems

Hi to everyone,

I am quite stucked with a problem for several days and I would like to ask
for some possible help.

I am trying to download a project to a Avnet Board on a Virtex 2 Pro xc2vp7
and debugging with XMD and GBD from EDK 6.3 through JTAG parallel cable IV.
First of all I download my design (download.bit) with the download option
from the tools menu of EDK. Ok
After that finishes correctly I open XMD form a Xygwin shell and type
'connect ppc hw' to connect to the PowerPC core and everything seems to work
correctly since I get the message:

xmd: starting gdb server for "ppc" target <id=0> at TCP port 1234

I assume that this assures that the PowerPC degugger is listening at port
1234 for any GBD requests.
But when I connect to 'localhost' to port '1234' with the software debugger
I always recieve the same messages from the XMD console:

Accepted a new GDB connection from 127.0.0.1 on port 2325

putpkt<read>: invalid argument

Closed GDB connection from 127.0.0.1 on port 2325

This always occurs either choosing 'run' (the code) or 'connect to target'
on GBD.
After a while I get a Windows alert message with:


GDB


Couldn't establish connection to remote target

Malformed response to offset query, timeout

GDB cannot connect to the target board using localhost:1234.

Verify that the board is securely connected and, if necessary,

modify the port setting with the debugger preferences.

I am connected to the board with hyperterminal 9600 bauds with a serial
cable, the JTAG parallel cable is powered and so is the board. The board
lights seem to be all ok. No malfunction.

I really don't know why I can't start downloading the .elf file to the
board. For some reason the debug core isn't accepting requests from the
software debugger. Could the baudrate be the problem? The board serial port
works on 9600 bauds, I even tried choosing other baudrates concluding the
same. Am I missing something?

Thanks a lot.
Adrian.


Reply With Quote
  #2 (permalink)  
Old 02-10-2005, 05:19 PM
Moti
Guest
 
Posts: n/a
Default Re: XMD/GBD problems

Just an idea..
have you downloaded the download.bit file to the FPGA prior to trying
to connect to it via the XMD?

you must press the "download" button prior to connecting to the xmd.
after pressing it the download.bit file will be loaded to the FPGA and
only then the XMD sequence can start.

I hope that it will be helpful and that get you to work again.

Moti.

Reply With Quote
  #3 (permalink)  
Old 02-10-2005, 05:29 PM
Moti
Guest
 
Posts: n/a
Default Re: XMD/GBD problems

Oopps have'nt saw that you already did it..
Sorry..
Moti.

Reply With Quote
  #4 (permalink)  
Old 02-10-2005, 05:32 PM
newman5382
Guest
 
Posts: n/a
Default Re: XMD/GBD problems


"adrian" <[email protected]> wrote in message
news:[email protected].. .
> Hi to everyone,
>
> I am quite stucked with a problem for several days and I would like to ask
> for some possible help.
>
> I am trying to download a project to a Avnet Board on a Virtex 2 Pro
> xc2vp7 and debugging with XMD and GBD from EDK 6.3 through JTAG parallel
> cable IV.
> First of all I download my design (download.bit) with the download option
> from the tools menu of EDK. Ok
> After that finishes correctly I open XMD form a Xygwin shell and type
> 'connect ppc hw' to connect to the PowerPC core and everything seems to
> work correctly since I get the message:
>
> xmd: starting gdb server for "ppc" target <id=0> at TCP port 1234
>
> I assume that this assures that the PowerPC degugger is listening at port
> 1234 for any GBD requests.
> But when I connect to 'localhost' to port '1234' with the software
> debugger I always recieve the same messages from the XMD console:
>
> Accepted a new GDB connection from 127.0.0.1 on port 2325
>
> putpkt<read>: invalid argument
>
> Closed GDB connection from 127.0.0.1 on port 2325
>
> This always occurs either choosing 'run' (the code) or 'connect to target'
> on GBD.
> After a while I get a Windows alert message with:
>
>
> GDB
>
>
> Couldn't establish connection to remote target
>
> Malformed response to offset query, timeout
>
> GDB cannot connect to the target board using localhost:1234.
>
> Verify that the board is securely connected and, if necessary,
>
> modify the port setting with the debugger preferences.
>
> I am connected to the board with hyperterminal 9600 bauds with a serial
> cable, the JTAG parallel cable is powered and so is the board. The board
> lights seem to be all ok. No malfunction.
>
> I really don't know why I can't start downloading the .elf file to the
> board. For some reason the debug core isn't accepting requests from the
> software debugger. Could the baudrate be the problem? The board serial
> port works on 9600 bauds, I even tried choosing other baudrates concluding
> the same. Am I missing something?
>
> Thanks a lot.
> Adrian.
>

Adrian,
Sometimes I have found that after opening XMD and doing a ppcconnect, that
if a issue a stop, and then a rst from XMD before I invoke gdb, it works
better.

- Newman


Reply With Quote
  #5 (permalink)  
Old 02-10-2005, 06:17 PM
adrian
Guest
 
Posts: n/a
Default Re: XMD/GBD problems

Has anyone ever encountered a problem of this type?

"newman5382" <[email protected]> escribió en el mensaje
news:[email protected] ...
>
> "adrian" <[email protected]> wrote in message
> news:[email protected].. .
>> Hi to everyone,
>>
>> I am quite stucked with a problem for several days and I would like to
>> ask for some possible help.
>>
>> I am trying to download a project to a Avnet Board on a Virtex 2 Pro
>> xc2vp7 and debugging with XMD and GBD from EDK 6.3 through JTAG parallel
>> cable IV.
>> First of all I download my design (download.bit) with the download option
>> from the tools menu of EDK. Ok
>> After that finishes correctly I open XMD form a Xygwin shell and type
>> 'connect ppc hw' to connect to the PowerPC core and everything seems to
>> work correctly since I get the message:
>>
>> xmd: starting gdb server for "ppc" target <id=0> at TCP port 1234
>>
>> I assume that this assures that the PowerPC degugger is listening at port
>> 1234 for any GBD requests.
>> But when I connect to 'localhost' to port '1234' with the software
>> debugger I always recieve the same messages from the XMD console:
>>
>> Accepted a new GDB connection from 127.0.0.1 on port 2325
>>
>> putpkt<read>: invalid argument
>>
>> Closed GDB connection from 127.0.0.1 on port 2325
>>
>> This always occurs either choosing 'run' (the code) or 'connect to
>> target' on GBD.
>> After a while I get a Windows alert message with:
>>
>>
>> GDB
>>
>>
>> Couldn't establish connection to remote target
>>
>> Malformed response to offset query, timeout
>>
>> GDB cannot connect to the target board using localhost:1234.
>>
>> Verify that the board is securely connected and, if necessary,
>>
>> modify the port setting with the debugger preferences.
>>
>> I am connected to the board with hyperterminal 9600 bauds with a serial
>> cable, the JTAG parallel cable is powered and so is the board. The board
>> lights seem to be all ok. No malfunction.
>>
>> I really don't know why I can't start downloading the .elf file to the
>> board. For some reason the debug core isn't accepting requests from the
>> software debugger. Could the baudrate be the problem? The board serial
>> port works on 9600 bauds, I even tried choosing other baudrates
>> concluding the same. Am I missing something?
>>
>> Thanks a lot.
>> Adrian.
>>

> Adrian,
> Sometimes I have found that after opening XMD and doing a ppcconnect,
> that if a issue a stop, and then a rst from XMD before I invoke gdb, it
> works better.
>
> - Newman
>
>



Reply With Quote
  #6 (permalink)  
Old 02-10-2005, 07:31 PM
newman5382
Guest
 
Posts: n/a
Default Re: XMD/GBD problems

I take it that the stop, rst command in XMD before you start gdb did not
help.

Are you using the jtagppc_cntl in the V2Pro design? If so, the serial baud
rate should not be an issue at this point in time.
After you do a ppcconnect in xmd, can you do a stop, rst, srrd?
The srrd should dump a bunch of Power PC registers. If it does not do these
things, there is little point in trying to get gdb to work.

-Newman


"adrian" <[email protected]> wrote in message
news:[email protected].. .
> Has anyone ever encountered a problem of this type?
>
> "newman5382" <[email protected]> escribió en el mensaje
> news:[email protected] ...
>>
>> "adrian" <[email protected]> wrote in message
>> news:[email protected].. .
>>> Hi to everyone,
>>>
>>> I am quite stucked with a problem for several days and I would like to
>>> ask for some possible help.
>>>
>>> I am trying to download a project to a Avnet Board on a Virtex 2 Pro
>>> xc2vp7 and debugging with XMD and GBD from EDK 6.3 through JTAG parallel
>>> cable IV.
>>> First of all I download my design (download.bit) with the download
>>> option from the tools menu of EDK. Ok
>>> After that finishes correctly I open XMD form a Xygwin shell and type
>>> 'connect ppc hw' to connect to the PowerPC core and everything seems to
>>> work correctly since I get the message:
>>>
>>> xmd: starting gdb server for "ppc" target <id=0> at TCP port 1234
>>>
>>> I assume that this assures that the PowerPC degugger is listening at
>>> port 1234 for any GBD requests.
>>> But when I connect to 'localhost' to port '1234' with the software
>>> debugger I always recieve the same messages from the XMD console:
>>>
>>> Accepted a new GDB connection from 127.0.0.1 on port 2325
>>>
>>> putpkt<read>: invalid argument
>>>
>>> Closed GDB connection from 127.0.0.1 on port 2325
>>>
>>> This always occurs either choosing 'run' (the code) or 'connect to
>>> target' on GBD.
>>> After a while I get a Windows alert message with:
>>>
>>>
>>> GDB
>>>
>>>
>>> Couldn't establish connection to remote target
>>>
>>> Malformed response to offset query, timeout
>>>
>>> GDB cannot connect to the target board using localhost:1234.
>>>
>>> Verify that the board is securely connected and, if necessary,
>>>
>>> modify the port setting with the debugger preferences.
>>>
>>> I am connected to the board with hyperterminal 9600 bauds with a serial
>>> cable, the JTAG parallel cable is powered and so is the board. The board
>>> lights seem to be all ok. No malfunction.
>>>
>>> I really don't know why I can't start downloading the .elf file to the
>>> board. For some reason the debug core isn't accepting requests from the
>>> software debugger. Could the baudrate be the problem? The board serial
>>> port works on 9600 bauds, I even tried choosing other baudrates
>>> concluding the same. Am I missing something?
>>>
>>> Thanks a lot.
>>> Adrian.
>>>

>> Adrian,
>> Sometimes I have found that after opening XMD and doing a ppcconnect,
>> that if a issue a stop, and then a rst from XMD before I invoke gdb, it
>> works better.
>>
>> - Newman
>>
>>

>
>



Reply With Quote
  #7 (permalink)  
Old 02-10-2005, 09:20 PM
adrian
Guest
 
Posts: n/a
Default Re: XMD/GBD problems

I am using jtagppc_cntl in the design.
I will try using the XMD commands.

Thankyou for your help

Adrian

"newman5382" <[email protected]> escribió en el mensaje
news:[email protected]. ..
>I take it that the stop, rst command in XMD before you start gdb did not
>help.
>
> Are you using the jtagppc_cntl in the V2Pro design? If so, the serial
> baud rate should not be an issue at this point in time.
> After you do a ppcconnect in xmd, can you do a stop, rst, srrd?
> The srrd should dump a bunch of Power PC registers. If it does not do
> these things, there is little point in trying to get gdb to work.
>
> -Newman
>
>
> "adrian" <[email protected]> wrote in message
> news:[email protected].. .
>> Has anyone ever encountered a problem of this type?
>>
>> "newman5382" <[email protected]> escribió en el mensaje
>> news:[email protected] ...
>>>
>>> "adrian" <[email protected]> wrote in message
>>> news:[email protected].. .
>>>> Hi to everyone,
>>>>
>>>> I am quite stucked with a problem for several days and I would like to
>>>> ask for some possible help.
>>>>
>>>> I am trying to download a project to a Avnet Board on a Virtex 2 Pro
>>>> xc2vp7 and debugging with XMD and GBD from EDK 6.3 through JTAG
>>>> parallel cable IV.
>>>> First of all I download my design (download.bit) with the download
>>>> option from the tools menu of EDK. Ok
>>>> After that finishes correctly I open XMD form a Xygwin shell and type
>>>> 'connect ppc hw' to connect to the PowerPC core and everything seems to
>>>> work correctly since I get the message:
>>>>
>>>> xmd: starting gdb server for "ppc" target <id=0> at TCP port 1234
>>>>
>>>> I assume that this assures that the PowerPC degugger is listening at
>>>> port 1234 for any GBD requests.
>>>> But when I connect to 'localhost' to port '1234' with the software
>>>> debugger I always recieve the same messages from the XMD console:
>>>>
>>>> Accepted a new GDB connection from 127.0.0.1 on port 2325
>>>>
>>>> putpkt<read>: invalid argument
>>>>
>>>> Closed GDB connection from 127.0.0.1 on port 2325
>>>>
>>>> This always occurs either choosing 'run' (the code) or 'connect to
>>>> target' on GBD.
>>>> After a while I get a Windows alert message with:
>>>>
>>>>
>>>> GDB
>>>>
>>>>
>>>> Couldn't establish connection to remote target
>>>>
>>>> Malformed response to offset query, timeout
>>>>
>>>> GDB cannot connect to the target board using localhost:1234.
>>>>
>>>> Verify that the board is securely connected and, if necessary,
>>>>
>>>> modify the port setting with the debugger preferences.
>>>>
>>>> I am connected to the board with hyperterminal 9600 bauds with a serial
>>>> cable, the JTAG parallel cable is powered and so is the board. The
>>>> board lights seem to be all ok. No malfunction.
>>>>
>>>> I really don't know why I can't start downloading the .elf file to the
>>>> board. For some reason the debug core isn't accepting requests from the
>>>> software debugger. Could the baudrate be the problem? The board serial
>>>> port works on 9600 bauds, I even tried choosing other baudrates
>>>> concluding the same. Am I missing something?
>>>>
>>>> Thanks a lot.
>>>> Adrian.
>>>>
>>> Adrian,
>>> Sometimes I have found that after opening XMD and doing a ppcconnect,
>>> that if a issue a stop, and then a rst from XMD before I invoke gdb, it
>>> works better.
>>>
>>> - Newman
>>>
>>>

>>
>>

>
>



Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Pci problems Dan FPGA 3 12-04-2004 08:19 AM
Avalon DMA problems cruzin FPGA 1 01-19-2004 01:19 PM
virtex-II problems ed FPGA 2 12-30-2003 09:32 PM
More EDK Problems..... :-( Rgr FPGA 2 09-04-2003 08:33 PM


All times are GMT +1. The time now is 11:52 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved