FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 08-08-2007, 11:42 PM
Andreas Schwarz
Guest
 
Posts: n/a
Default Synthesizing fixed_pkg in ISE 9.2

Hi,

I'm trying to synthesize a design with the fixed_pkg package in Xilinx
ISE 9.2. I'm using the version adapted for Xilinx from
http://www.eda-stds.org/fphdl/vhdl.html. Compilation works fine, but
after that I get the following, not exactly helpful error message:

================================================== =======================
* Design Hierarchy Analysis
*
================================================== =======================
ERROR:Xst:2683 - Unexpected error found while building hierarchy.
-->

This happens both with the synthesis test from the website above and
with my own trivial example (attached at the end of the post). You can
get the complete test project from http://www.mikrocontroller.net/attac...fixpt-test.zip.

Has anyone found a workaround to use fixed_pkg with ISE?

Thanks,
Andreas



Attachment:
architecture rtl of top is
signal x, y : sfixed (3 downto -3);
begin
x <= to_sfixed(in1, 3, -3);
y <= x * 2;
out1 <= to_slv(y);
end rtl;

Reply With Quote
  #2 (permalink)  
Old 08-09-2007, 06:28 PM
Mike Treseler
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

Andreas Schwarz wrote:

> Has anyone found a workaround to use fixed_pkg with ISE?


I haven't even tried since the
author of the package reported:

"After fixing everything, it gave me the error:

INTERNAL_ERROR:Xst:cmain.c:3111:1.8.6.1 - To resolve this error, please
consult the Answers Database and other online resources at
http://support.xilinx.com

This is a "use at your own risk" one I guess. I would
recommend Synplicity, which seems to work much better."
Reply With Quote
  #3 (permalink)  
Old 08-14-2007, 02:52 AM
David Bishop
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

Mike Treseler wrote:
> Andreas Schwarz wrote:
>
>> Has anyone found a workaround to use fixed_pkg with ISE?

>
> I haven't even tried since the
> author of the package reported:
>
> "After fixing everything, it gave me the error:
>
> INTERNAL_ERROR:Xst:cmain.c:3111:1.8.6.1 - To resolve this error, please
> consult the Answers Database and other online resources at
> http://support.xilinx.com
>
> This is a "use at your own risk" one I guess. I would
> recommend Synplicity, which seems to work much better."


I am the author.

Xilinx said that they were going to fix this in 9.3. I have not had a
chance to check it out yet, but I would try that first.
Reply With Quote
  #4 (permalink)  
Old 08-15-2007, 07:46 PM
Andreas Schwarz
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

On 14 Aug., 03:52, David Bishop <[email protected]> wrote:
> Xilinx said that they were going to fix this in 9.3. I have not had a
> chance to check it out yet, but I would try that first.


Thanks for the info. 9.3 isn't released yet, do you have any idea when
it will be?

Andreas

Reply With Quote
  #5 (permalink)  
Old 08-19-2007, 08:27 PM
David Bishop
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

Andreas Schwarz wrote:
> On 14 Aug., 03:52, David Bishop <[email protected]> wrote:
>> Xilinx said that they were going to fix this in 9.3. I have not had a
>> chance to check it out yet, but I would try that first.

>
> Thanks for the info. 9.3 isn't released yet, do you have any idea when
> it will be?


I'd use Synplicity. I've been using 8.803 with these packages.
Reply With Quote
  #6 (permalink)  
Old 08-20-2007, 04:49 PM
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

There is no ISE 9.3. Maybe they meant ISE 9.2.03i (service pack 3)
which is scheduled to release September 14.

Steve

"Andreas Schwarz" <[email protected]> wrote in message
news:[email protected] ups.com...
> On 14 Aug., 03:52, David Bishop <[email protected]> wrote:
>> Xilinx said that they were going to fix this in 9.3. I have not had a
>> chance to check it out yet, but I would try that first.

>
> Thanks for the info. 9.3 isn't released yet, do you have any idea when
> it will be?
>
> Andreas
>



Reply With Quote
  #7 (permalink)  
Old 08-21-2007, 11:25 AM
Manny
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

There is a nice fixed-point package from Doulos which I successfully
used in the past. Look it up on their website.

Reply With Quote
  #8 (permalink)  
Old 08-21-2007, 01:00 PM
Jonathan Bromley
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

On Tue, 21 Aug 2007 03:25:47 -0700, Manny <[email protected]> wrote:

>There is a nice fixed-point package from Doulos which I successfully
>used in the past. Look it up on their website.


Manny,

thanks for the free publicity, but please DON'T use it...
there are a few rather significant bugs with signed
arithmetic, and it's not as complete as the IEEE packages.

I wish I had worked more closely with David Bishop on this,
because I believe my (Doulos) package has a few features that
are worth having; but it's far too late now, the IEEE package
is far better developed, and it has a test suite - something
I should have done in the first place, but never had time to do.

I PROMISE to take the packages down from our website before the
end of the week, and instead leave a pointer to the IEEE set!

cheers
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
[email protected]
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
Reply With Quote
  #9 (permalink)  
Old 08-23-2007, 05:22 PM
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

Hello,

Regardless, I got this error while synthesizing a Verilog project. The
problem was that I adopted a project from ISE 7.1.04. After starting a
fresh project on ISE 9.2, handpicking the HDL files and UCFs (and so
on) the synthesis went smoothly.

Maybe this will do the trick for you too.

Eli

Reply With Quote
  #10 (permalink)  
Old 08-25-2007, 09:04 PM
Andreas Schwarz
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

On 23 Aug., 18:22, [email protected] wrote:
> Regardless, I got this error while synthesizing a Verilog project. The
> problem was that I adopted a project from ISE 7.1.04. After starting a
> fresh project on ISE 9.2, handpicking the HDL files and UCFs (and so
> on) the synthesis went smoothly.


I had the problem with a fresh 9.2 VHDL-only project. Which version of
fixed_pkg did you use? The Xilinx-adapted from http://www.eda-stds.org/fphdl/vhdl.html,
or the original package from the VHDL200x website?

Thanks,
Andreas

Reply With Quote
  #11 (permalink)  
Old 08-28-2007, 03:25 PM
Andreas Schwarz
Guest
 
Posts: n/a
Default Re: Synthesizing fixed_pkg in ISE 9.2

I installed the ISE service pack 2, and the error message became more
specific:
ERROR:Xst:2744 - "C:/Dokumente und Einstellungen/A/Eigene Dateien/FPGA/
fixpt-test/vhdl200x/fixed_pkg_c.vhdl" line 1026: alias of a function
is not supported.
ERROR:Xst:2683 - Unexpected error found while building hierarchy.

So I commented out the function aliases cleaned up the project files
(otherwise I would get "ERROR:HDLParsers:333 - Binary file "xst/
ieee_proposed/sub00/vhpl02" is corrupted. Recompile unit
fixed_pkg.fixed_pkg."), and everything compiles just fine.

Only division does not work:
ERROR:Xst:769 - "C:/Dokumente und Einstellungen/A/Eigene Dateien/FPGA/
fixpt-test/vhdl200x/fixed_pkg_c.vhdl" line 2599: Operator <INVALID
OPERATOR> must have constant operands or first operand must be power
of 2

Andreas

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Synthesizing generate and always blocks... kb33 Verilog 1 04-03-2008 06:08 AM
Synthesizing ROM nezhate Verilog 3 05-07-2007 01:23 PM
using FPGAs for synthesizing? Frank Buss FPGA 2 11-11-2006 06:54 PM
ISE and IEEE.Fixed_pkg (fixed point math for synth?) Phil Tomson FPGA 4 02-12-2005 01:48 PM
Synthesizing for gates only Charles Bailey Verilog 6 12-02-2004 08:48 AM


All times are GMT +1. The time now is 11:48 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved