FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 05-08-2009, 01:57 PM
Chico
Guest
 
Posts: n/a
Default Quartus II negative bus dimensions in Schematic file

This question is Quartus II specific but I figured since this would
the proper place to find at least one person with this problem.

I want to use the fixed point package provided here:
http://www.accellera.org/apps/group_...wg_abbrev=vhdl

I can compile the library and use the files, but a problem arises when
I create a schematic file and try to synthesize. To use the fixed
point numbers I have to declare the bus width like so: var_name sfixed
(1 downto -30)

When I create a block out the file and try to synthesize it, I get an
error about illegal bus width "-30". Is there a way to get around
this? Or I cannot use negative numbers as a bus width?

Thanks
Reply With Quote
  #2 (permalink)  
Old 05-08-2009, 02:04 PM
KJ
Guest
 
Posts: n/a
Default Re: Quartus II negative bus dimensions in Schematic file


"Chico" <[email protected]> wrote in message
news:817c83f5-984d-43c7-9d00-9b5e79f2f581@o30g2000vbc.googlegroups.com...
> This question is Quartus II specific but I figured since this would
> the proper place to find at least one person with this problem.
>
> I want to use the fixed point package provided here:
> http://www.accellera.org/apps/group_...wg_abbrev=vhdl
>
> I can compile the library and use the files, but a problem arises when
> I create a schematic file and try to synthesize.


Don't create a 'schematic file' to synthesize. Just use the VHDL packages
directly. I've used them with Quartus and not had any problems.

KJ


Reply With Quote
  #3 (permalink)  
Old 05-08-2009, 02:39 PM
Chico
Guest
 
Posts: n/a
Default Re: Quartus II negative bus dimensions in Schematic file

On May 8, 9:04*am, "KJ" <[email protected]> wrote:
> "Chico" <[email protected]> wrote in message
>
> news:817c83f5-984d-43c7-9d00-9b5e79f2f581@o30g2000vbc.googlegroups.com...
>
> > This question is Quartus II specific but I figured since this would
> > the proper place to find at least one person with this problem.

>
> > I want to use the fixed point package provided here:
> >http://www.accellera.org/apps/group_...wg_abbrev=vhdl

>
> > I can compile the library and use the files, but a problem arises when
> > I create a schematic file and try to synthesize.

>
> Don't create a 'schematic file' to synthesize. *Just use the VHDL packages
> directly. *I've used them with Quartus and not had any problems.
>
> KJ


I was thinking of doing that, but I need to assign a PIN to my inputs/
outputs. Is there a way to do that without using a schematic file
through VHDL? I am using Quartus II 8.0.
Reply With Quote
  #4 (permalink)  
Old 05-08-2009, 04:31 PM
Wade Hassler
Guest
 
Posts: n/a
Default Re: Quartus II negative bus dimensions in Schematic file


"Chico" <[email protected]> wrote in message
news:713706ae-f03d-439c-97c5-591e2a804fb1@z19g2000vbz.googlegroups.com...
On May 8, 9:04 am, "KJ" <[email protected]> wrote:
> "Chico" <[email protected]> wrote in message
>
> news:817c83f5-984d-43c7-9d00-9b5e79f2f581@o30g2000vbc.googlegroups.com...
>
> > This question is Quartus II specific but I figured since this would
> > the proper place to find at least one person with this problem.

>
> > I want to use the fixed point package provided here:
> >http://www.accellera.org/apps/group_...wg_abbrev=vhdl

>
> > I can compile the library and use the files, but a problem arises when
> > I create a schematic file and try to synthesize.

>
> Don't create a 'schematic file' to synthesize. Just use the VHDL packages
> directly. I've used them with Quartus and not had any problems.
>
> KJ


I was thinking of doing that, but I need to assign a PIN to my inputs/
outputs. Is there a way to do that without using a schematic file
through VHDL? I am using Quartus II 8.0.


I don't know how VHDL would look, but the following works in Quartus
for Verilog code:

input SYNC_IN /* synthesis altera_chip_pin_lc = "5"*/;
output SYNC_OUT /* synthesis altera_chip_pin_lc = "39"*/;
input SW_2 /* synthesis altera_chip_pin_lc = "18"*/;
input SW_1 /* synthesis altera_chip_pin_lc = "21"*/;
output LED1 /* synthesis altera_chip_pin_lc = "37"*/;
output LED2 /* synthesis altera_chip_pin_lc = "22"*/;

Look in the Help file under Synthesis Attributes

Wade Hassler


Reply With Quote
  #5 (permalink)  
Old 05-08-2009, 04:42 PM
LittleAlex
Guest
 
Posts: n/a
Default Re: Quartus II negative bus dimensions in Schematic file

On May 8, 6:39 am, Chico <[email protected]> wrote:
> On May 8, 9:04 am, "KJ" <[email protected]> wrote:
>
>
>
> > "Chico" <[email protected]> wrote in message

>
> >news:817c83f5-984d-43c7-9d00-9b5e79f2f581@o30g2000vbc.googlegroups.com...

>
> > > This question is Quartus II specific but I figured since this would
> > > the proper place to find at least one person with this problem.

>
> > > I want to use the fixed point package provided here:
> > >http://www.accellera.org/apps/group_...wg_abbrev=vhdl

>
> > > I can compile the library and use the files, but a problem arises when
> > > I create a schematic file and try to synthesize.

>
> > Don't create a 'schematic file' to synthesize. Just use the VHDL packages
> > directly. I've used them with Quartus and not had any problems.

>
> > KJ

>
> I was thinking of doing that, but I need to assign a PIN to my inputs/
> outputs. Is there a way to do that without using a schematic file
> through VHDL? I am using Quartus II 8.0.


Yes. This is well documented in the manual. And the tutorial. And
in several download-able examples.

AL
Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
any freeware can convert vhdl file to schematic(block diagram)? Aiken VHDL 2 06-20-2008 05:08 PM
Xilinx : Incorrect PACE file generation from schematic Joseph FPGA 1 12-11-2007 10:35 PM
What is the meaning of negative interconnect delay in sdf file [email protected] Verilog 2 01-10-2007 03:27 AM
Negative hold time from Quartus Mohammed A Khader FPGA 1 05-03-2005 07:28 PM
Quartus II Schematic Capture Gary Pace FPGA 1 04-30-2004 03:33 AM


All times are GMT +1. The time now is 12:00 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved