FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 02-08-2008, 11:53 AM
Guest
 
Posts: n/a
Default Problem in assignment of pins in PACE

Hi all,

I have recently just generated HDL netlist from System Generator 9.1
and I have began assigning the pins in PACE for my design.

Although I have assigned most pins as well as the clk, I have problem
understanding how should I assign the last pin 'ce' which is the clock
enable. Do I assign it as an IO pin or is there any constraint as to
how to assign it? Thank you for any helpful comments .


Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
differential pins assignment in Synplify fro altera device [email protected] FPGA 1 05-02-2007 04:06 AM
Problem with assigning package pins using PACE al99999 FPGA 0 07-31-2006 11:39 AM
IDELAYCTRL floorplanner/fpga editor/pace problem Tim Verstraete FPGA 2 10-13-2005 05:56 PM
Weird problem in Xilinx WebPack ISE PACE 7.1SP4 [email protected] FPGA 0 10-03-2005 05:07 AM
How to refresh pins in Xilinx PACE Mike FPGA 0 07-16-2004 03:23 PM


All times are GMT +1. The time now is 11:54 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved