FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 05-04-2006, 06:50 PM
Paul
Guest
 
Posts: n/a
Default how to set a I/O as 3-state in xilinx FPGA?

hi, there,

I want to set one of I/O pin as 3 state, how can I do this in Xilinx
FPGA using Verilog?

thanks

Reply With Quote
  #2 (permalink)  
Old 05-04-2006, 10:25 PM
Dave Pollum
Guest
 
Posts: n/a
Default Re: how to set a I/O as 3-state in xilinx FPGA?

Paul wrote:
> hi, there,
>
> I want to set one of I/O pin as 3 state, how can I do this in Xilinx
> FPGA using Verilog?
>
> thanks


You may want to ask in comp.lang.verilog.

VHDL code:
-- this makes the output "out_pin" hi-impedance when "Z_enable" is a
'1'.
-- Otherwise, "out_pin" is assigned the value of "some_bit".
out_pin <= 'Z' when Z_enable = '1'
else some_bit;
HTH
-Dave Pollum

Reply With Quote
  #3 (permalink)  
Old 05-07-2006, 06:22 AM
Alif Wahid
Guest
 
Posts: n/a
Default Re: how to set a I/O as 3-state in xilinx FPGA?

Dave Pollum wrote:
> Paul wrote:
>> hi, there,
>>
>> I want to set one of I/O pin as 3 state, how can I do this in Xilinx
>> FPGA using Verilog?
>>
>> thanks

>
> You may want to ask in comp.lang.verilog.
>
> VHDL code:
> -- this makes the output "out_pin" hi-impedance when "Z_enable" is a
> '1'.
> -- Otherwise, "out_pin" is assigned the value of "some_bit".
> out_pin <= 'Z' when Z_enable = '1'
> else some_bit;


Moreover, you may need to constrain that pin as a tristate driver by
explicitly specifying that to your synthesis tool outside of VHDL.

Regards,

Alif.

Reply With Quote
Reply

Bookmarks

Thread Tools
Display Modes

Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
Xilinx Map vs IOB tri-state with clock enable... johnp FPGA 1 04-25-2006 09:42 PM
xilinx SW state machines enumeration Moti Cohen FPGA 0 08-11-2004 05:56 PM
Xilinx DDR output with tri-state.... John Providenza FPGA 1 12-05-2003 03:39 PM
state machine interaction with a xilinx block ram alison Verilog 0 08-16-2003 03:04 AM


All times are GMT +1. The time now is 11:40 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved