FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 09-22-2003, 11:59 AM
Heiko Panther
Guest
 
Posts: n/a
Default EDK 3.2: timing constraint for CLKDLL

In order to double my input clock of 48 MHz, I'm using a CLKDLL. I
understand that I have to add a timing constraint so the synthesizer
knows what frequency I'm operating at. I added the following to my .ucf
file:

NET "clk48" TNM_NET = "clk48"; TIMESPEC "TS_clk48" = PERIOD "clk48" 48
MHz HIGH 50 %; NET "clk96" TNM_NET = "clk96"; TIMESPEC "TS_clk96" =
PERIOD "clk96" "TS_clk48" / 2;

However, ngdbuild gives an error saying "clk96" could not be found in
the design. Xilinx' support page

http://support.xilinx.com/xlnx/xil_a...iLanguageID=1&
iCountryID=1&getPagePath=17222

tells me to check if the name is the same as in the top-level netlist,
which seems to be implementation/system.ngc, and is a binary file.

Which is the source file where my "clk96" should be mentioned, and do I
have to do something more to get it there? Right now, clk96 is only a
signal in one of my VHDL architectures.

Thanks
Heiko
Reply With Quote
Reply

Bookmarks

Thread Tools
Display Modes

Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
using CLKDLL, want: myclock <= CLKDV and LOCKED Ben Gerblich FPGA 0 08-31-2003 02:17 PM


All times are GMT +1. The time now is 11:57 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved