FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 05-30-2008, 06:06 PM
Hua
Guest
 
Posts: n/a
Default DATA0 pin in Cyclone III device

Hi all,

From the datasheet of 3c120 (cyclone III), the data[0] is a pin used
in configuration, and in user mode it can be used as a dedicated input
pin with "optional user control". But when I use it as an input pin in
my design, the fitter reports an error saying this pin has been used.
Is there any settings I should set before I can use this pin in user
mode?

Thanks,
Hua

Reply With Quote
  #2 (permalink)  
Old 05-30-2008, 10:57 PM
Guest
 
Posts: n/a
Default Re: DATA0 pin in Cyclone III device

On May 30, 10:06 am, Hua <[email protected]> wrote:
> Hi all,
>
> From the datasheet of 3c120 (cyclone III), the data[0] is a pin used
> in configuration, and in user mode it can be used as a dedicated input
> pin with "optional user control". But when I use it as an input pin in
> my design, the fitter reports an error saying this pin has been used.
> Is there any settings I should set before I can use this pin in user
> mode?
>
> Thanks,
> Hua


In the Device and Pins menu, Dual-Purpose Pins tab (Setting->Device-
>Device and Pins Options->Dual-Purpose pins.) there's an option for

what this pin should be after configuration.

My guess is that you need to set this.

G.
Reply With Quote
Reply

Bookmarks

Thread Tools
Display Modes

Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
query regarding capacitance of pins of cyclone device ram FPGA 0 12-08-2006 05:14 AM
problem programming Altera Cyclone device [email protected] FPGA 8 05-27-2006 01:59 PM
Can Altera Cyclone device's clock input directly used as CLK with PLL? Binary FPGA 3 12-28-2005 01:37 PM
Cyclone configuration device Martin Schoeberl FPGA 11 02-09-2005 08:34 PM
Cyclone device misteriously overheats Alex Somesan FPGA 17 12-15-2004 05:18 PM


All times are GMT +1. The time now is 11:43 AM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved