FPGA Central - World's 1st FPGA / CPLD Portal

FPGA Central

World's 1st FPGA Portal

 

Go Back   FPGA Groups > NewsGroup > FPGA

FPGA comp.arch.fpga newsgroup (usenet)

Reply
 
LinkBack Thread Tools Display Modes
  #1 (permalink)  
Old 12-31-2008, 09:07 PM
Digi Suji
Guest
 
Posts: n/a
Default 7 Segment LED Display - BASYS board

Hi,

I have a chip top which has an 8-bit output "out[7:0]". I am
implementing it on a BASYS board which uses Xilinx Spartan 3E. I would
like to see the 8-bit output on the seven segment LED display. How can
I do this?

Do I need to add any additional Verilog code to do this? Please help.

Thanks,
Reply With Quote
  #2 (permalink)  
Old 01-01-2009, 05:09 AM
rickman
Guest
 
Posts: n/a
Default Re: 7 Segment LED Display - BASYS board

Happy new year,

A seven segment display can show the status of 4 bits by displaying a
digit, or it can display 8 bits by connecting each bit to one segment
plus the decimal point if the display has one. That would be very
hard to interpret, but if you are a 7 segment savant, you might be
able to pull it off.

Otherwise, you might think about displaying two 4 bit digits in
succession. It would need to show one digit, a brief delay and the
second digit, followed by a longer delay before repeating. Think you
can work with that?

Rick

On Dec 31, 4:07*pm, Digi Suji <[email protected]> wrote:
> Hi,
>
> I have a chip top which has an 8-bit output "out[7:0]". I am
> implementing it on a BASYS board which uses Xilinx Spartan 3E. I would
> like to see the 8-bit output on the seven segment LED display. How can
> I do this?
>
> Do I need to add any additional Verilog code to do this? Please help.
>
> Thanks,


Reply With Quote
  #3 (permalink)  
Old 01-02-2009, 11:29 PM
Dave Pollum
Guest
 
Posts: n/a
Default Re: 7 Segment LED Display - BASYS board

On Wed, 31 Dec 2008 13:07:00 -0800 (PST), Digi Suji
<[email protected]> wrote:

>Hi,
>
>I have a chip top which has an 8-bit output "out[7:0]". I am
>implementing it on a BASYS board which uses Xilinx Spartan 3E. I would
>like to see the 8-bit output on the seven segment LED display. How can
>I do this?
>
>Do I need to add any additional Verilog code to do this? Please help.
>
>Thanks,


According to the BASYS reference manual
(http://www.digilentinc.com/Data/Prod...BASYS_C_rm.pdf) the
board has 8 individual LEDs. The ref manual shows they are connected
to GND, so setting an LED pin HI ('1') will light the LED. So in your
upper level code, connect "out[7:0]" to "leds[7:0]". You will need to
check the UCF file for the exact pin names.
The 7-segment display is multiplexed, which means that for example,
segment A of each digit is connected together, and there is a separate
control/enable pin for each digit. Chances are there is code on the
Digilent web site, or provided with the board, to drive the LED
display.
-Dave Pollum

Reply With Quote
Reply

Bookmarks


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
how to display on LCD of FPGA board? 500milesaway FPGA 1 11-21-2008 03:52 PM
Linux and the Digilent Basys ? Bob Smith FPGA 4 02-23-2008 11:50 AM
Re: Using the 7 segment displays on Xilinx Spartan 3 kit newman5382 FPGA 0 02-14-2005 05:19 AM
8-bit word to 4-digit, 7-segment display weizbox Verilog 4 10-07-2004 09:23 PM
8-bit word to 4-digit, 7-segment display weizbox FPGA 2 10-06-2004 02:18 AM


All times are GMT +1. The time now is 12:48 PM.


Powered by vBulletin® Version 3.8.0
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Search Engine Friendly URLs by vBSEO 3.2.0
Copyright 2008 @ FPGA Central. All rights reserved