View Single Post
  #6 (permalink)  
Old 11-18-2007, 10:06 AM
Didi
Guest
 
Posts: n/a
Default Re: Coolrunner in system programming - XAPP0058 - viable?

> So ask Xilinx for the info, explain you are manufacturing device
> programmers.
>
> If they prove too hard to work with, you could try Atmel etc
> They have appx equivalent devices to the smaller XPLA3


Well thanks, Jim, sounds encouraging. Have not wasted any time talking
programming data to their support for years, time to try again may be.
I strongly suspect I know what the result will be, though.

> It means that progamming documents must exist, and be sent to the
> programmer manufacturers.


Are you saying you can program a Coolrunner using a non-Xilinx
programmer and a _JEDEC_ file? Without the programmer using
(or asking you to use) some paid Xilinx software to do some
fuse address mapping?
Generally this is the question Xilinx' documents do not
answer (and seem to deliberately obscure).

> A working device programmer is also not a bad way to reality-check your
> work.
> eg You can pgm a part, and then confirm you can read it in your system,
> and then pgm in your system, and read/save the result, to analyse if
> some bits are in the wrong place.


Oh I do not need that, last time I did it for the original Coolrunner
it took me weeks rather than months to do the whole thing having
just the data and a few chips. It totally took me about 3 months
to write a suitable logic compiler (similar - not directly
compatible - to PHDL, but more flexible/powerful, with all the DPS
scripting. macros etc.) and some reverse engineering I had to do
because I did not have all the data (Philips were easier to deal
with than Xilinx - I guess everyone is that - but sent me only
_most_ of the data, omitted a significant part of them...).
Programming a device via JTAG is a lot easier than they make
it sound (and cash in for), one just needs the device documentation.

Dimiter

------------------------------------------------------
Dimiter Popoff Transgalactic Instruments

http://www.tgi-sci.com
------------------------------------------------------


On Nov 18, 2:41 am, Jim Granville <[email protected]>
wrote:
> Didi wrote:
> > Hi Jim,

>
> >>My device programmer lists

>
> >>PZ5032
> >>PZ3032
> >>XC3032XL
> >>XC2C32A

>
> >>so, that means the algorithms for all of those are published.

>
> > do you mean there should be all data out there so one can write
> > what it takes to program a device from a JEDEC file? So far I
> > fail to find out how (all day), please send some more hint
> > (also please take my other posting about JEDEC <-> JTAG mapping into
> > account).

>
> Basically, yes. Clearly our programemr supplier (ee tools) has done this.
>
> Mostly they use the JTAG pins and pathway, tho some PLDs also have
> extra means to jtag-unlock, which needs more pins.
>
> It means that progamming documents must exist, and be sent to the
> programmer manufacturers.
>
> They may not be fully public, but they are not 'closed' either.
>
> So ask Xilinx for the info, explain you are manufacturing device
> programmers.
>
> If they prove too hard to work with, you could try Atmel etc
> They have appx equivalent devices to the smaller XPLA3
>
> A working device programmer is also not a bad way to reality-check your
> work.
> eg You can pgm a part, and then confirm you can read it in your system,
> and then pgm in your system, and read/save the result, to analyse if
> some bits are in the wrong place.
>
> -jg
>
>
>
> > Dimiter

>
> > On Nov 18, 12:43 am, Jim Granville <[email protected]>
> > wrote:

>
> >>Didi wrote:

>
> >>>I looked at XAPP0058 which describes some algorithms for in system
> >>>programming of some Xilinx CPLDs.
> >>> It appears that there are enough data to program a XPLA3 device once
> >>>I have the binary data I want to program without needing any more
> >>>tools/software/hoops_to_jump_through_I_havent_thought_of.
> >>> However, given the long history of programming data secrecy (of
> >>>not just Xilinx, they only pioneered that, I believe) I would like to
> >>>get
> >>>a confirmation by someone who has done it that this is actually
> >>>possible for the XPLA3.
> >>> Can I produce a binary using ABEL or whatever I can find in their
> >>>free software (the way this used to be possible using PHDL before
> >>>Xilinx bought and made the Coolrunner programming secret) and
> >>>program it into their xpla3 parts _without_ using any JTAG
> >>>tools/software other than those I make? Would I be able to utilize all
> >>>CPLD resources with their free software (as far as producing the
> >>>binary
> >>>is concerned, that is)?
> >>> I am using my own JTAG hardware/software, it runs under DPS,
> >>>under which my logic compiler for the Philips coolrunner used to run
> >>>(still does, just no parts available). Adapting it to do anything is
> >>>not a problem, as long as "anything" is not kept secret.
> >>> This may sound overly paranoid, but I do expect all sorts of catches
> >>>nowadays not only from Xilinx, hence my question.
> >>> IIRC Xilinx had something in the agreement with Philips to support
> >>>all
> >>>previous customers, but I am not in the mood for legal battles, I just
> >>>need a usable CPLD (not one which comes with a PC/MS or whatever
> >>>attached to it in order to be written to) without having to do a lot
> >>>of
> >>>reverse engineering.

>
> >>>Dimiter

>
> >>If you consider yourself the same as a programmer manufacturer :

>
> >>My device programmer lists

>
> >>PZ5032
> >>PZ3032
> >>XC3032XL
> >>XC2C32A

>
> >>so, that means the algorithms for all of those are published.

>
> >>-jg


Reply With Quote