Thread: newbie to 16v8
View Single Post
  #4 (permalink)  
Old 11-11-2007, 12:43 AM
Peter Alfke
Guest
 
Posts: n/a
Default Re: newbie to 16v8

On Nov 10, 11:00 am, Amit <[email protected]> wrote:
> On Nov 10, 10:49 am, Jonathan Bromley <[email protected]>
> wrote:
>
>
>
> > On Sat, 10 Nov 2007 18:25:35 -0000, Amit <[email protected]> wrote:

>
> > >Hello group,

>
> > >I'm new to this field and currently learning how 16v8 architecture is
> > >designed. Of course, pretty confused but as my first experiement I
> > >need to implement a logical function and also design multiplier using
> > >61v8.

>
> > >does anybody know where I can get some information to be able to
> > >complete this?

>
> > A GAL16V8, which I guess is what you mean, has only...
> > - 8 bits of storage
> > - 18 user I/O pins, of which one must be taken as a clock
> > in most cases
> > so your multiplier surely cannot be very big! You could make
> > a multiplier with two 4-bit inputs and an 8-bit result...
> > probably. If you have *lots* of 16V8s on a board, you
> > could make a bigger multiplier.

>
> > When I did a Google search for GAL16V8, the first hit I found
> > was the Lattice data sheet. (I used to know those devices
> > inside-out, but I haven't used one for so long that I thought
> > I'd better remind myself of the details.) Not a bad place to start.
> > --
> > Jonathan Bromley, Consultant

>
> > DOULOS - Developing Design Know-how
> > VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

>
> > Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
> > [email protected]://www.MYCOMPANY.com

>

Amit,
you will not fnd a multiplier, nor even an adder. The chip has lots of
wide AND gates that can be ORed together, and that's the (very low)
level of abstraction you should use to design. Back to basics (or is
it forward to basics for you ?).
Good exercise in logic design, and in logical thinking.
Greetings to your teacher or prof.
Peter Alfke

> > The contents of this message may contain personal views which
> > are not the views of Doulos Ltd., unless specifically stated.

>
> Hello Jonathan,
>
> Thanks for your response. you are right, I did download it but one
> thing that I need to know how can I find a right flow? and associate
> it with a multiplier 4 by 4?
> it seems there are other controlling inputs such as Vcc (or maybe I'm
> wrong) but is there any example of an adder for instance?
>
> Once again thanks.
> amit



Reply With Quote