View Single Post
  #3 (permalink)  
Old 04-29-2004, 05:30 PM
paris
Guest
 
Posts: n/a
Default Re: Post-Place & Route Simulation with ISE


"arkaitz" <[email protected]> escribió en el mensaje
news:[email protected] om...
> Hi all,
>
> I am trying to make a post-place & route simulation of a very simple
> design.
>
> In the "top.vhd "file there is declared an entity that contains some
> generics and the architecture.
>
> entity top is
> generic(
> gen1 : real := 3.0;
> gen2 : std_logic;
> gen3 : std_logic
> );
> port(
> clk : in std_logic;
> rst : in std_logic;
> input : in std_logic;
> output : out std_logic
> );
> end top;
>
> When I ask ISE to simulate a PPR simulation with modelsim it generates
> a file called "top_timesim.vhd". Then generates a "tb_top.tdo" where
> there are some modelsim commands that compile the "top.vhd" and
> "tb_top.vhd" files.
>
> Modelsim generates a "not default binding for component top" error. I
> have seen the top_timesim.vhd file and the generics of my top are
> deleted!
>
> Why can be this? Is there any way to avoid this?
>
> Thanks in advance,
>
> Arkaitz.
>
> Note: I have rewriten the generics in "top_timesim.vhd" file and now
> it works well.


i dont think you should use "generics" in a "top" entity that you want to
synthesize (correct me if im wrong). I've heard that some tools accept them
and use the default value if specified.
what i do is to "wrap" the generic component, and instantiate it into a
"wrapper" that doesnt contain any generics and where all generics have been
fixed to constants defined in some package. It also eases simulation before
and after synthesis, as you only have to switch wrappers (or architectures)
in the testbench.


Reply With Quote