PDA

View Full Version : How to get lowest price for a ModelSim license?


Weng Tianxiang
06-12-2006, 07:43 PM
Hi,
We want to buy a ModelSim license.

1. Buy Xilinx-ModelSim version license from Xilinx website shop for
$1150 with dongle and 1 year expiration limit;

2. Buy ModelSim PE version from one of agents we contact: $3K for
perpatual license.

Both versions will work and make no differences to our applications.

Does anyone knows a better way to buy a ModelSim license with lowest
price except the above two options? For a start-up, we prefer lowest
price, of course.

Thank you.

Weng

oneweek
06-12-2006, 08:08 PM
you can try lattice semi for a fraction of cost, only restriction is
you have to use their FPGA, but not bad at all.

Weng Tianxiang wrote:
> Hi,
> We want to buy a ModelSim license.
>
> 1. Buy Xilinx-ModelSim version license from Xilinx website shop for
> $1150 with dongle and 1 year expiration limit;
>
> 2. Buy ModelSim PE version from one of agents we contact: $3K for
> perpatual license.
>
> Both versions will work and make no differences to our applications.
>
> Does anyone knows a better way to buy a ModelSim license with lowest
> price except the above two options? For a start-up, we prefer lowest
> price, of course.
>
> Thank you.
>
> Weng

Andy
06-12-2006, 08:26 PM
Weng Tianxiang wrote:
> Hi,
> We want to buy a ModelSim license.
>
> 1. Buy Xilinx-ModelSim version license from Xilinx website shop for
> $1150 with dongle and 1 year expiration limit;
>
> 2. Buy ModelSim PE version from one of agents we contact: $3K for
> perpatual license.
>
> Both versions will work and make no differences to our applications.
>
> Does anyone knows a better way to buy a ModelSim license with lowest
> price except the above two options? For a start-up, we prefer lowest
> price, of course.
>
> Thank you.
>
> Weng
>

Hi Weng,
I guess from 'start-up' you are talking commercial use. If, however, you
are an academic user, you might want to talk to europractice.

http://www.msc.rl.ac.uk/europractice/

Andy

Mike Treseler
06-12-2006, 09:06 PM
Weng Tianxiang wrote:

> 1. Buy Xilinx-ModelSim version license from Xilinx website shop for
> $1150 with dongle and 1 year expiration limit;

Quartus FIXEDPC $2k license covers windows modelsim
for vhdl or verilog.
One year limit only applies to updates -- tools keep working.
From distributors only.

> 2. Buy ModelSim PE version from one of agents we contact: $3K for
> perpatual license.

Quartus FLOATLNX $3k license covers linux modelsim
for vhdl or verilog.
One year limit only applies to updates -- tools keep working.
From distributors only.

-- Mike Treseler

bart
06-13-2006, 12:58 AM
oneweek wrote:
> you can try lattice semi for a fraction of cost, only restriction is
> you have to use their FPGA, but not bad at all.
>
ModelSim is included with shipments of Lattice's ispLEVER base
software, which you can purchase (Windows part number:
LS-HDL-BASE-PC-N) from the Lattice online store for $495 at
http://www.latticesemi.com/store/software.cfm

Regards,
Bart Borosky, Lattice

Francesco
06-13-2006, 08:57 AM
bart wrote:
> oneweek wrote:
> > you can try lattice semi for a fraction of cost, only restriction is
> > you have to use their FPGA, but not bad at all.
> >
> ModelSim is included with shipments of Lattice's ispLEVER base
> software, which you can purchase (Windows part number:
> LS-HDL-BASE-PC-N) from the Lattice online store for $495 at
> http://www.latticesemi.com/store/software.cfm
>
> Regards,
> Bart Borosky, Lattice

if you use verilog I suggest you to try icarus verilog
:http://www.icarus.com/eda/verilog.
and the wave viewer you can use wave 1.0 : www.iss-us.com
I think they are quite good.
They are free and in my opinion they are veru good.

Francesco

Nial Stewart
06-13-2006, 09:35 AM
> Quartus FIXEDPC $2k license covers windows modelsim
> for vhdl or verilog.
> One year limit only applies to updates -- tools keep working.


Are you sure about that Mike, I thought they changed the licencing
model a while ago so Modelsim only works for 18 months now.


Nial.

rnbrady
06-13-2006, 03:04 PM
Weng

Have you tried GHDL? It's a great simulator for start-up and costs
nothing.

http://gna.org/projects/ghdl

Good luck!

Richard

Mike Treseler
06-13-2006, 03:33 PM
Nial Stewart wrote:
>> Quartus FIXEDPC $2k license covers windows modelsim
>> for vhdl or verilog.
>> One year limit only applies to updates -- tools keep working.
>
> Are you sure about that Mike, I thought they changed the licencing
> model a while ago so Modelsim only works for 18 months now.

I'm not 100% sure of anything.
But that is what the licensing data sheet says.
Anybody from Altera got their ears on?

-- Mike Treseler

Nial Stewart
06-13-2006, 04:26 PM
"Weng Tianxiang" <[email protected]> wrote in message
news:[email protected] oups.com...
> Hi,
> We want to buy a ModelSim license.
> 1. Buy Xilinx-ModelSim version license from Xilinx website shop for
> $1150 with dongle and 1 year expiration limit;
> 2. Buy ModelSim PE version from one of agents we contact: $3K for
> perpatual license.
> Both versions will work and make no differences to our applications.
> Does anyone knows a better way to buy a ModelSim license with lowest
> price except the above two options? For a start-up, we prefer lowest
> price, of course.


If you're not tied to Modelsim it might be worth looking at
VHDL Simili from SymphonyEDA...

http://www.symphonyeda.com/

It's a fully compliant VHDL simulator. I've been evaluating it since it
came out, it's always been a bit slower than Modelsim but I see that they've
put some effort into speeding it up for the latest release (I haven't tried
this so can't comment).

Hard to beat for the price


Nial.

06-13-2006, 08:33 PM
Hi Weng,

How large designs are we talking about?

For small designs, you can always use free tools. Both open-source like
IcarusVerilog and free versions of commercials products. For example,
Altera Quartus II WebPack and Actel Libero Gold are both free and
include simulators. In the case of Actel it is actually a version of
ModelSim.


The free versions may sometimes be a little limited, but we have paid
hundreds of thousands of dollars for much worse tools in past.


(Please note that I dont suggest you should switch to Altera/Actel).

regards
-Burns

>Weng Tianxiang wrote:
> Hi,
> We want to buy a ModelSim license.
>
> 1. Buy Xilinx-ModelSim version license from Xilinx website shop for
> $1150 with dongle and 1 year expiration limit;
>
> 2. Buy ModelSim PE version from one of agents we contact: $3K for
> perpatual license.
>
> Both versions will work and make no differences to our applications.
>
> Does anyone knows a better way to buy a ModelSim license with lowest
> price except the above two options? For a start-up, we prefer lowest
> price, of course.
>
> Thank you.
>
> Weng

Weng Tianxiang
06-13-2006, 10:59 PM
Hi Everyone,
Thank you for your responses.

It is a start-up project for commercial use and I am not an academic
researcher, instead of, an engineer who has a 2nd own project going on
at home and hasn't got financial support from any investors.

I have been using Xilinx free tools with ModelSim free software. Now
ModelSim says that the size of project is beyond 50K statements that is
beyond its limit of 10K statements. Whie beyond limit, ModelSim goes
very slow.

$3K price for ModelSim PE is acceptable to us, but finally it is found
that ModelSim Design is for $3K and ModelSim PE for $5K.

So we are wighting for other choice.

Thank you.

Weng

[email protected] wrote:
> Hi Weng,
>
> How large designs are we talking about?
>
> For small designs, you can always use free tools. Both open-source like
> IcarusVerilog and free versions of commercials products. For example,
> Altera Quartus II WebPack and Actel Libero Gold are both free and
> include simulators. In the case of Actel it is actually a version of
> ModelSim.
>
>
> The free versions may sometimes be a little limited, but we have paid
> hundreds of thousands of dollars for much worse tools in past.
>
>
> (Please note that I dont suggest you should switch to Altera/Actel).
>
> regards
> -Burns
>
> >Weng Tianxiang wrote:
> > Hi,
> > We want to buy a ModelSim license.
> >
> > 1. Buy Xilinx-ModelSim version license from Xilinx website shop for
> > $1150 with dongle and 1 year expiration limit;
> >
> > 2. Buy ModelSim PE version from one of agents we contact: $3K for
> > perpatual license.
> >
> > Both versions will work and make no differences to our applications.
> >
> > Does anyone knows a better way to buy a ModelSim license with lowest
> > price except the above two options? For a start-up, we prefer lowest
> > price, of course.
> >
> > Thank you.
> >
> > Weng

Ray Andraka
06-13-2006, 11:05 PM
Weng,

Have you looked at Aldec? Their simulator is as good as modelsim, and
has an easier user interface. They also offer VHDL/verilog/edif/matlab
co-simulation. The cadillac version competes favorably with modelsim PE
for I think a similar price. The versions with fewer features are
cheaper. You might contact Aldec and talk to them about your needs.
One of the big advantages with Aldec is the customer support, I've
always gotten personal attention from their support within hours. Model
tech, on the other hand has not been all that responsive when issues
come up.

GaLaKtIkUs™
06-15-2006, 09:39 PM
Francesco wrote:
> bart wrote:
> > oneweek wrote:
> > > you can try lattice semi for a fraction of cost, only restriction is
> > > you have to use their FPGA, but not bad at all.
> > >
> > ModelSim is included with shipments of Lattice's ispLEVER base
> > software, which you can purchase (Windows part number:
> > LS-HDL-BASE-PC-N) from the Lattice online store for $495 at
> > http://www.latticesemi.com/store/software.cfm
> >
> > Regards,
> > Bart Borosky, Lattice
>
> if you use verilog I suggest you to try icarus verilog
> :http://www.icarus.com/eda/verilog.

I wanted to use Icarus but I was confronted to a big problem (as a user
of Xilinx): in the simlation libraries there are specify blocs and
Icarus verilog doesn't support them and there are no shoft term plans
to support them. Great was my deception (as open source enthusiast) but
now I'm obliged to use a commercial simulator.

> and the wave viewer you can use wave 1.0 : www.iss-us.com
> I think they are quite good.

I used gtk-wave for that.

> They are free and in my opinion they are veru good.
>
> Francesco

Colin Marquardt
06-15-2006, 10:54 PM
"GaLaKtIkUs" <[email protected]> writes:

> I wanted to use Icarus but I was confronted to a big problem (as a user
> of Xilinx): in the simlation libraries there are specify blocs and
> Icarus verilog doesn't support them and there are no shoft term plans
> to support them.

There was a bug in the unisim libraries at one point which make ghdl
(rightfully) choke: an element of an enum had the same name as a
variable or a type IIRC. Maybe that is your problem?

Cheers,
Colin

GaLaKtIkUs™
06-16-2006, 12:36 AM
Colin Marquardt wrote:
> "GaLaKtIkUs" <[email protected]> writes:
>
> > I wanted to use Icarus but I was confronted to a big problem (as a user
> > of Xilinx): in the simlation libraries there are specify blocs and
> > Icarus verilog doesn't support them and there are no shoft term plans
> > to support them.
>
> There was a bug in the unisim libraries at one point which make ghdl
> (rightfully) choke: an element of an enum had the same name as a
> variable or a type IIRC. Maybe that is your problem?
>
> Cheers,
> Colin

I'm talking about icarus verilog ... not about ghdl!!!
Cheers

Mike Treseler
06-17-2006, 09:03 AM
GaLaKtIkUs™ wrote:

> I wanted to use Icarus but I was confronted to a big problem (as a user
> of Xilinx): in the simlation libraries there are specify blocs and
> Icarus verilog doesn't support them.

Could you write your own code
and not use the libraries?

-- Mike Treseler

GaLaKtIkUs™
06-17-2006, 01:31 PM
Rewrite the whole libraries, which model Xilinx primitives for all
Xilinx FPGA/CPLD families and all speed grades?
:-S


Mike Treseler wrote:
> GaLaKtIkUs™ wrote:
>
> > I wanted to use Icarus but I was confronted to a big problem (as a user
> > of Xilinx): in the simlation libraries there are specify blocs and
> > Icarus verilog doesn't support them.
>
> Could you write your own code
> and not use the libraries?
>
> -- Mike Treseler

Mike Treseler
06-17-2006, 03:58 PM
GaLaKtIkUs™ wrote:
> Rewrite the whole libraries, which model Xilinx primitives for all
> Xilinx FPGA/CPLD families and all speed grades?

No.
Leave the primitives to synthesis.
Leave the timing to STA.

-- Mike Treseler

GaLaKtIkUs™
06-17-2006, 06:29 PM
Mike Treseler wrote:
> GaLaKtIkUs™ wrote:
> > Rewrite the whole libraries, which model Xilinx primitives for all
> > Xilinx FPGA/CPLD families and all speed grades?
>
> No.
> Leave the primitives to synthesis.
> Leave the timing to STA.

STA?

>
> -- Mike Treseler

gallen
06-17-2006, 07:25 PM
Static Timing Analysis.

It's nearly impossible to prove that a design will work with
simulation. Static Timing Analysis is a simulation-less proof
mechanism. The tool uses clock periods and setup and hold times and
propagation delays of the hardware to prove it a design will meet a
specified timing.

A digital design flow should go basically like this:
1. Specify and make list of features.
2. Implement RTL.
3. Write functional simulations to test features exhaustively.
4. Test features.
5. Write design constraints.
6. Synthesize.
7. Run Static Timing.
8. Do timing fixes if necessary, go back to step 4.
9. Tape out or do whatever you need to do with your complete design.

The point is you should never need to run timing sims. That said, I've
never worked on a chip that didn't need them. All I can say is that
you almost can't guarantee a circuit to be working through timing gate
sims.

-Arlen
GaLaKtIkUs™ wrote:
> Mike Treseler wrote:
> > GaLaKtIkUs™ wrote:
> > > Rewrite the whole libraries, which model Xilinx primitives for all
> > > Xilinx FPGA/CPLD families and all speed grades?
> >
> > No.
> > Leave the primitives to synthesis.
> > Leave the timing to STA.
>
> STA?
>
> >
> > -- Mike Treseler