PDA

View Full Version : ModelSim Error Msg


Yogi V.
07-01-2003, 03:24 PM
Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot
this error -
______________________________________________
# -- Loading package standard
# ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory.
# ERROR: ../simulation/system_init.vhd(5): Library unisim not found.
# ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting
# ERROR: C:/Modeltech_5.6/win32/vcom failed.
# Error in macro ./system.do line 3
___________________________________________


# My system.do file is :
________________________
do system_init.do

vsim -c system_conf
________________________


Any help/pointers are highly appreciated.

Thanks.

ModelSim 5.6 SE
ISE 5.2i
XPD 5.2i running EDK 3.2

Jonathan Bromley
07-01-2003, 03:52 PM
"Yogi V." <[email protected]> wrote in
message news:[email protected]...
>
> Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot
> this error -
> ______________________________________________
> # -- Loading package standard
> # ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
> # No such file or directory.
> # ERROR: ../simulation/system_init.vhd(5): Library unisim not found.
> # ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting
> # ERROR: C:/Modeltech_5.6/win32/vcom failed.
> # Error in macro ./system.do line 3
> ___________________________________________

You have not yet created a mapping from logical library name
"unisim" to the correct physical directory, so ModelSim guesses
that you have put the unisim library in a directory "unisim"
below the current working directory. But there is no such
directory, or if there is, it doesn't contain a valid library.

> # My system.do file is :
> do system_init.do
> vsim -c system_conf

That doesn't help us a whole lot unless we know what's in
system_init.do

Check on how your unisim library has been compiled, and then
issue the appropriate "vmap" command to ModelSim so that it
knows where to find it. Once you've done this, ModelSim will
update its modelsim.ini file in the current working directory
and everything will be alright the next time you try to run it.

Alternatively, if you don't yet have a compiled unisim library,
you need to make one:

vlib unisim_library ------ make the physical library
vmap unisim unisim_library ------ tell ModelSim its name
vcom -work unisim <all the Unisim source files>

HTH
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223 mail: [email protected]
Fax: +44 (0)1425 471573 Web: http://www.doulos.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.

Colm Clancy
07-01-2003, 04:46 PM
This looks like the XILINX EDK flow.&nbsp; The unisims and simprims libraries are set in the MVS file (if using the the XPS GUI this file will be written by XPS and the location of unisim and simprim are set through the GUI).&nbsp; This is then written into the top of the system_comp.do in my case in system_comp.do I see vmap -c unisim /home/libs/installed_sol/unisim
vmap -c simprim /home/libs/installed_sol/simprim System_comp.do is called by system_init.do.&nbsp; This is true for more recent versions of EDK if memory serves the flow changed - previously there was no system_comp.do, it was all contained in system_init.do

Colm.

Jonathan Bromley wrote: "Yogi V." &lt;[email protected]> wrote in
message news:[email protected]...
>
> Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot
> this error -
> ______________________________________________
> # -- Loading package standard
> # ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
> # No such file or directory.
> # ERROR: ../simulation/system_init.vhd(5): Library unisim not found.
> # ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting
> # ERROR: C:/Modeltech_5.6/win32/vcom failed.
> # Error in macro ./system.do line 3
> ___________________________________________

You have not yet created a mapping from logical library name
"unisim" to the correct physical directory, so ModelSim guesses
that you have put the unisim library in a directory "unisim"
below the current working directory.&nbsp; But there is no such
directory, or if there is, it doesn't contain a valid library.

> # My system.do file is :
> do system_init.do
> vsim -c system_conf

That doesn't help us a whole lot unless we know what's in
system_init.do

Check on how your unisim library has been compiled, and then
issue the appropriate "vmap" command to ModelSim so that it
knows where to find it.&nbsp; Once you've done this, ModelSim will
update its modelsim.ini file in the current working directory
and everything will be alright the next time you try to run it.

Alternatively, if you don't yet have a compiled unisim library,
you need to make one:

vlib unisim_library&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ------ make the physical library
vmap unisim unisim_library&nbsp;&nbsp;&nbsp; ------ tell ModelSim its name
vcom -work unisim &lt;all the Unisim source files>

HTH
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; mail: [email protected]
Fax: +44 (0)1425 471573&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; Web: http://www.doulos.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.

John Moore
07-03-2003, 11:48 AM
In your modelsim.ini file, in the VHDL Section, you should have a line like:

unisim = $MODEL_TECH/../xilinx/vhdl/unisim

HTH
John Moore